![DesignNex Technologies 's logo](/_next/image?url=https%3A%2F%2Fcdn.cutshort.io%2Fpublic%2Fimages%2Fdefault_company_picture.jpg&w=3840&q=75)
We are seeking a skilled and motivated Physical Design Engineer to join our dynamic team. As a Physical Design Engineer, you will play a critical role in the design and implementation of integrated circuits (ICs) or chips. You will be responsible for translating RTL (Register Transfer Level) designs into physical layouts while meeting performance, power, and area (PPA) targets. Your expertise will contribute to the success of our cutting-edge semiconductor products.
Key Responsibilities:
- Collaborate with architects and RTL designers to understand design specifications and constraints.
- Implement floorplans and partitioning for complex IC designs, optimizing for PPA metrics.
- Perform detailed placement and routing of digital logic, memory, and custom blocks using industry-standard tools.
- Conduct timing closure activities, including timing analysis, optimization, and clock tree synthesis.
- Address physical design challenges such as signal integrity, power integrity, and design-for-manufacturability (DFM) issues.
- Work closely with verification and backend teams to ensure design integrity and functionality.
- Generate and review design rule checks (DRC) and layout-versus-schematic (LVS) reports to ensure compliance with foundry requirements.
- Contribute to the development and improvement of physical design methodologies and flows.
- Stay updated with the latest advancements in physical design tools, methodologies, and technologies.
Requirements:
- Bachelor's degree in Electrical Engineering, Computer Engineering, or related field. Advanced degrees are a plus.
- Strong understanding of digital IC design fundamentals and semiconductor fabrication processes.
- Proficiency in industry-standard EDA (Electronic Design Automation) tools for physical design, such as Cadence Innovus, Synopsys ICC, or Mentor Graphics Calibre.
- Experience with scripting languages like Tcl, Perl, or Python for design automation and flow customization.
- Solid understanding of timing closure techniques, clock tree synthesis, and power optimization methodologies.
- Familiarity with place and route methodologies, floorplanning, and physical verification.
- Excellent problem-solving skills and attention to detail.
- Ability to work effectively in a team-oriented environment and communicate technical concepts clearly.
- Prior experience in ASIC or FPGA physical design is preferred but not required for recent college graduates.
![companies logos](/_next/image?url=https%3A%2F%2Fcdn.cutshort.io%2Fpublic%2Fimages%2Fhiring_companies_logos-v2.webp&w=3840&q=80)
About DesignNex Technologies
✨ 𝐖𝐡𝐲 𝐃𝐞𝐬𝐢𝐠𝐧𝐍𝐞𝐱?
DesignNex is your premier partner for Silicon and Product Engineering talent. Our curated network of top-tier professionals empowers you to craft cutting-edge designs and products, ensuring you stay ahead in today's competitive market.
🎯 𝐎𝐮𝐫 𝐌𝐢𝐬𝐬𝐢𝐨𝐧
DesignNex's mission is to bridge talent and opportunity, fostering mutual success as a premier partner for clients and engineering professionals.
🔭 𝐎𝐮𝐫 𝐕𝐢𝐬𝐢𝐨𝐧
At DesignNex, we aim to be key growth catalysts in the engineering services sector, committed to being our clients' top service partner.
💡 𝐎𝐮𝐫 𝐂𝐨𝐫𝐞 𝐕𝐚𝐥𝐮𝐞𝐬:
𝗖𝗼𝗹𝗹𝗮𝗯𝗼𝗿𝗮𝘁𝗶𝗼𝗻: Promoting teamwork and synergy
𝗚𝗿𝗼𝘄𝘁𝗵: Continuous learning & development
𝗘𝘅𝗰𝗲𝗹𝗹𝗲𝗻𝗰𝗲: Top-notch service providers
𝗜𝗻𝘁𝗲𝗴𝗿𝗶𝘁𝘆: Honesty, transparency & ethical conduct in all interactions
DesignNex is your premier partner for Silicon and Product Engineering talent. Our curated network of top-tier professionals empowers you to craft cutting-edge designs and products, ensuring you stay ahead in today’s competitive market.
Similar jobs
Position: Senior Hardware Engineer
Requirement: Immediate joining
Job Description for the above position:
➢ 5 to 7 years of experience in high-speed and Mixed-signal product design and development.
➢ Hands-on experience in circuit design and circuit simulation, an excellent skill set is a must in circuit analysis.
➢ Experience in Industrial/MIL grade environmental system design, in which processor-controlled and FPGA-controlled devices are involved.
➢ Excellent component selection and decision-making skills.
➢ Working experience with high-speed digital interfaces such as PCI Express, DDR3/4 SDRAM, 100Mbps/1000Mbps/10Gb Ethernet, Battery management system and USB2.0/3.0. This is must
Requirement.
➢ Experience in a range of technologies including digital, analog and power electronics.
➢ Hands-on experience in writing low-level design documents, architectural design documents and test procedures is a must to apply for this position.
➢ Excellent knowledge of electronic circuits and analysis.
➢ Familiarity and Experience with Altium Designer and Allegro tools.
➢ The ability to work with software engineers to design and debug systems.
➢ Experience in Thermal analysis, Load analysis and Timing analysis.
➢ Knowledge of Signal integrity and power integrity.
➢ Experience in system-level design, logical design etc.
➢ Experience and involvement in the complete cycle of Product development and design skill is a must requirement for this position.
➢ Good knowledge of EMI/EMC testing procedures and Certification standards.
➢ Guide and support all aspects of PCB layout, fabrication, and assembly processes.
➢ Knowledge of analog and digital video interfaces, especially HDMI, Display Port, and SMPTE
video standards a plus.
➢ Hands-on experience in design/troubleshooting/updating hardware.
➢ Thorough knowledge of MS Office applications, Word, Excel, and Visio.
➢ Mentoring the team, being an individual contributor, willingness to take ownership of the design is a must to apply for this position.
➢ Experience with testing tools like Oscilloscopes, Signal Analyzers etc...
➢ Strong knowledge on layer stack-up build.
Qualification:
➢ BE/B. Tec or ME/M. Tech in Electronics/Electronics and communication Person
Specification/Competencies:
➢ Self-motivated
➢ The ability to work independently, within or lead the team.
➢ Well organized
➢ Strategic thinker.
➢ Proactive
➢ Ability to manage multiple tasks and work toward long-term goals.
➢ Excellent communication skills (verbal and written)
➢ Solid understanding of engineering fundamentals and technical problem-solving skills.
➢ Experience in establishing and sustaining strong relationships with the extended team.
![skill icon](/_next/image?url=https%3A%2F%2Fcdn.cutshort.io%2Fpublic%2Fimages%2Fskill_icons%2Fc.png&w=32&q=75)
Please go through with the below mentioned job description
Position title: Firmware Engineer
Experience: 6-12 years
Notice Period: 0-30 days
Location: Bangalore(Sarjapur)
Role type: Permanent
About the role
Experience in Firmware/Software programming in embedded Linux & RTOS based application development. Candidate must have a very good understanding of ARM processor, hardware and custom board or product development experience.
Roles and Responsibilities:
Design, code and integrate software for an embedded Linux/RTOS targeted application(applications, drivers, framework)
Customization, deployment and maintenance of Linux distribution
Interact with Linux kernel, integrate new device drivers Improvement and maintain existing software components
Perform unit test, Integration test, verification and validation of the product developed.
Assist with the hardware design surrounding the processor
Document the projects according to standards (protocols, architecture, technical specifications, etc.)
Specific skills:
Expert in C programming with RTOS.
Hands-On experience with Protocol development.
Nice to have Protocol development experience such as USB or DP, HDMI or equivalent.
System level design and understanding of overall system
Strong knowledge of Linux, ARM processor architecture with SOC, FPGA interface is a plus.
Hand-on experience on Linux kernel and device-driver development and debugging.
Knowledge/Experience of TCP/IP, Network programming.
Ability to write effective and reusable code according to best practices.
Must be a dynamic, autonomous, and team-oriented individual.
Knowledge of hardware and low-level development is an asset
Please provide below mention details:
No. of years of working experience in Embedded Linux -
Protocol stack development Exp, any specific protocol worked on -
Relevant Experience:
Official Notice Period 30/60/90 Days:
Date of Resignation:
Serving Notice Period and Last Working Day:
Current Company:
Current Location:
Work Location:
Current CTC(fixed,variable):
Expected CTC(fixed,variable):
Interview Availability:
Any Offer:
Relocate to Bangalore(Sarjapur):
company name
company location
date of joining
![skill icon](/_next/image?url=https%3A%2F%2Fcdn.cutshort.io%2Fpublic%2Fimages%2Fskill_icons%2Fc.png&w=32&q=75)
![skill icon](/_next/image?url=https%3A%2F%2Fcdn.cutshort.io%2Fpublic%2Fimages%2Fskill_icons%2Fc.png&w=32&q=75)
Summary:
Hyperspec is building a real time local map for self-driving cars and using cross view localization to enable ubiquitous autonomy. Hyperspec is a VC funded startup.
The principal embedded systems engineer will have deep expertise in embedded systems design, development and worked for years on embedded systems teams. This person is a leader and mentor to junior engineers and shares knowledge about the design and development of embedded systems.
Responsibilities:
- Serves as an expert in all aspects of embedded projects and embedded systems—including designing, developing, testing, and perfecting designs
- Responsible for updating and working with executive leadership on the development of embedded systems and status of projects
- Provides guidance and mentoring to other members of the team
Requirements:
- Minimum of bachelors' degree in computer science or engineering
- At least ten years of engineering experience with expertise in software engineering, data structures, and programming techniques
- Deep expertise in C/C++ programming
- Experience with FPGAs, PCB, Xilinx, Altera, or similar SoCs.
- Experience with ISPs, Cameras, Switches a plus.
- Experience in working with real-time operating systems, communications protocols and firmware design.
- Experience in interfaces, IP protocols, and hardware subsystems.
- Experience in working as part of a team and leading teams.
About the role
Experience in Firmware/Software programming in embedded Linux & RTOS based application development. Candidate must have a very good understanding of ARM processor, hardware and custom board or product development experience.
Roles and Responsibilities:
- Design, code and integrate software for an embedded Linux/RTOS targeted application (applications, drivers, framework)
- Customization, deployment and maintenance of Linux distribution
- Interact with Linux kernel, integrate new device drivers Improvement and maintain existing software components
- Perform unit test, Integration test, verification and validation of the product developed.
- Assist with the hardware design surrounding the processor
- Document the projects according to standards (protocols, architecture, technical specifications, etc.)
Qualifications:
- Bachelor degree in Electronics or computer science engineering.
- Minimum 6 - 12 years of experience in embedded Linux programming.
Specific skills:
- Expert in C programming with RTOS.
- Hands-On experience with Protocol development.
- Nice to have Protocol development experience such as USB or DP, HDMI or equivalent.
- System level design and understanding of overall system
- Strong knowledge of Linux, ARM processor architecture with SOC, FPGA interface is a plus.
- Hand-on experience on Linux kernel and device-driver development and debugging.
- Knowledge/Experience of TCP/IP, Network programming.
- Ability to write effective and reusable code according to best practices.
- Must be a dynamic, autonomous, and team-oriented individual.
- Knowledge of hardware and low-level development is an asset.
INNOPHASE is a rapidly growing communications semiconductor startup with headquarters located in San Diego, CA. It is an exciting time to join InnoPhase and work with a brilliant team of engineers to design innovative wireless products and solutions for IoT/5G.
InnoPhase Bangalore is looking for a Senior Design Verification (DV) Engineer to join a growing start up semiconductor development organization and to help drive excellence in our IOT/5G products.
Responsibilities:
- Follow and help define the team's design verification methodology.
- Write bus functional models that drive and monitor stimulus.
- Plans and implements block and integration level scoreboards and checkers to verify functional behavior.
- Experience constructing chip-level System Verilog and UVM test bench environments, writing System Verilog Assertions (SVAs), with embedded software design and test.
- Develop RAL test plan at SOC/IP level and its implementation.
- Write and analyze functional coverage, providing input to block-level milestones.
- Triage regression failures and identify logic bugs, while driving bug closure.
- Debug test cases and report verification results to achieve the expected code/functional coverage goal. Utilize constrained random verification, functional coverage, code coverage and assertions to achieve goals.
Knowledge and Skills Required:
- Bachelor's or Master's degree in Electrical Engineering, Computer Engineering, Computer Science or equivalent.
- 5+ years of experience in VLSI design or verification
- Excellent collaboration, teamwork and communication skills
- Significant experience in reviewing and modifying IP block verification plans, a real plus if created such plans in collaboration with design engineering.
- Track record of completing IP block verification to acceptable coverage metrics.
- Excellent debugging skills, with experience debugging RTL in the block and/or chip-level environments.
- Working knowledge of OVM or UVM methodologies.
- Good analytical and problem-solving skills.
- Proficient knowledge of programming and scripting, hardware description language, electronic design automation (EDA), and/or FPGA tools.
Job Title: Project Associate - Mixed Signal Design Profile
Industry: Wireless communication, 5G
Organization: 5G TestBed-IIT Hyderabad- Hyderabad
Job Description
Work Profile:
· Work on development of custom Analog circuit boards for applications related to RF, interfaces etc.
· Implement new features and bug fixes
· Verify analog/mixed-signal integrated circuits
· Develop test cases to verify new features and bug fixes
· Review and update the user manuals for software tools.
· Supporting digital modelling of analog circuits for mixed-signal verification
· Creating design specifications and circuit schematics
· Work both independently and in a team environment, with the opportunity to provide technical leadership to other members of the engineering team
· Create and/or modify specification documents detailing system design and enhancements to meet marketing requirements
· Collaborate with others in the creation of technical reports, whitepapers, and user documentation
Requisites:
· EE/EEE/ECE graduate, undergraduate degree from reputed Tier 1 or Tier 2 colleges .
· Strong knowledge of analog integrated circuit design fundamentals
· Proven experience taking designs from concept to production
· Experience in analog/mixed-signal IC design & verification
· Understanding of BJT, CMOS and Op-Amp technologies.
· Good understanding of analog/mixed-signal design flows (Cadence, Synopsys)
· Transistor and system level simulation skills
· Discrete time and continuous time signal processing skills
· Strong lab and silicon validation skills
· Verilog based digital design and test bench development, is a plus
· Strong communication skills, both written and verbal
About us:
For more details please visit: http://5g.iith.ac.in/
IIT Hyderabad in collaboration with top Indian institutes including IITM, CEWiT, IITD, IITK, IISC and SAMEER is building the largest 5G testbed of the country, with the support of Department of Telecommunications (DoT) Govt. of India. This project will create a 5G prototype and testing platform that will be developed under the guidance of IIT-H faculty.
The project will deliver an end-to-end 5G testbed comprising 5G BS and UE nodes that support enhanced mobile broadband (eMBB), Ultra low latency communication (URLLC), and massive MTC including NB IoT services. The operating frequently includes both sub 6 GHz and mmwave frequencies. The system will exceed IMT 2020 5G performance requirements including Low Mobility Large Cell (i.e.., LMLC) targets introduced by India at ITU.
The 'Indigenous 5G Testbed' project is a long-term effort with a team of 100+ researchers/engineers based out of IIT-H campus.
About Company
Espressif Systems is a multinational, fabless semiconductor company established in 2008, with headquarters in Shanghai and offices in Greater China, India and Europe. We have a passionate team of engineers and scientists from all over the world, focused on developing cutting-edge WiFi-and-Bluetooth, low-power, IoT solutions. Among our popular products are the ESP8266 and ESP32 series of chips, modules and development boards. Espressif has opened a Technology Center in Pune(Baner), India, which will focus on embedded software engineering and IoT solutions development for our growing customers.
At Espressif, communication, collaboration and innovation are of paramount importance. That's why professionals and engineers from around the world have chosen to further their careers at Espressif Systems. They are passionate and committed to developing innovative products. And they are here to ensure that fast,secure and green IoT technology can be available to all. Come and join Espressif, so that you, too, can partake in Espressif's mission in the IoT industry.
BASIC QUALIFICATIONS
- M.Tech/B. Tech in the field of VLSI/Electronics engineering.
- Proficiency in UVM/SV and C/C++ based functional verification
- Experience in UPF based low power design verification
- Automation skills in PERL and/or TCL and/or Shell*
- Team player, with good problem solving and communication skills.
JOB DESCRIPTION
- Drive functional verification at IP/SoC level using UVM/SV test bench
- Work closely with design team to define comprehensive feature test plans
- Perform functional and code coverage for logic verification sign-off
- Must have worked on ARM/RISC-V CPU based designs
- Must have performed gate level sim at SoC level
- Pre and Post-silicon debug/validation experience will be a plus
INTERPERSONAL SKILLS:
- Energetic, self-motivated
- Pro-active, oriented on execution
- Attentive to details and quality
- Team player
- Good communications and reporting skills
![skill icon](/_next/image?url=https%3A%2F%2Fcdn.cutshort.io%2Fpublic%2Fimages%2Fskill_icons%2Fc.png&w=32&q=75)
![skill icon](/_next/image?url=https%3A%2F%2Fcdn.cutshort.io%2Fpublic%2Fimages%2Fskill_icons%2Fdata_analytics.png&w=32&q=75)
![icon](/_next/image?url=https%3A%2F%2Fcdn.cutshort.io%2Fpublic%2Fimages%2Fsearch.png&w=48&q=75)
![companies logos](/_next/image?url=https%3A%2F%2Fcdn.cutshort.io%2Fpublic%2Fimages%2Fhiring_companies_logos-v2.webp&w=3840&q=80)