Cutshort logo
HDFC Bank logo
Imperia Relationship Manager
Imperia Relationship Manager
HDFC Bank's logo

Imperia Relationship Manager

Agency job
3 - 8 yrs
₹8L - ₹10L / yr
Mumbai
Skills
Direct sales
Business Development
Banking

Job Purpose

• Responsible for managing and driving a team of Virtual Relationship Managers (VRM) handling

portfolio of eligible Imperia & Preferred customers in order to achieve the targets set and that all

guidelines and regulatory requirements are followed.

• Ensuring that customers get world-class services and their needs are understood and met through

financial products that the Bank has to offer.

• Consistently meet portfolio objectives defined Viz. sales, income, group product holding etc.

• Ensure adherence to Operations process aimed at zero defect transaction processing & meeting the

Audit & Compliance guidelines.

• Responsible for managing, training and motivating staff.

• All of the above parameters consistently met by the team of VRMs.

• Income generation through a right blend of enhancement of CASA balance and through cross sell of appropriate products. So that the VRM becomes the top of the mind recall amongst the customers in the catchment for all their financial needs and services, thereby ensuring that the VRMs also achieves the key performance parameters set


Job Responsibilities(JR) : 6 – 8 Areas

Business Achievement and Development for VRM & Team

• Ensure proper scoping is done and target / niche customers identified with ACTIVE collaboration from concerned Product/Sales Support Teams

• Ensure that the targets on liability accounts, through the channel (Branch and direct sales), are met under all parameters specified.

o Meet value target for Liability Balances of the customers

o Ensure quality of sourcing is maintained and depletion rates are controlled.

o Ensure that Salary credits reach or exceed the expect Salary Credit targets

• Ensure that all managed portfolios are effectively managed through VRMs and that set targets on each of the portfolios is reached.

• Also, Team Manager has to ensure seamless servicing of customers.

• VRMs are made aware of the Scorecard targets & Portfolio Benchmark.

• Ensure that the High Net worth individuals are persuaded to avail the private banking products and services (advisory, etc.)

• Ensure that there is cross sell to the customer on asset products as offered by the Bank.

• Ensure that the customers get credit cards and start using the same for all their transactions- credit card activation push.

• Income to be generated at a customer level.

• Ensure that cross sell targets on Third Party Products is met for the Unit

• Ensure Staff are trained on product knowledge and requisite certifications

• Engaging with bottom 20% performers and identifying ares of improvement and demonstrating the How.

• Review Daily Sales Report of the staff and provide guidance on ways to improve on the same

• Managing and monitoring performance of all the sales resources

o Productivity of Liability and Non Liability sales staff

• Attrition control of customers

o Includes persuading the customer to continue and if required renew FD’s

§ Monitor large amount movements / account closure from the deposit accounts and ensure that customer does not attrite

o Ensure that the marketing analytics list on possible attrite, is called and retained

• Ensure that there is no revenue leakage

Customer Service / Customer engagement

• Plan monthly/ weekly/ daily contacts for the team of VRMs basis the contact policy & track implementation

of the interaction plan.

• Effective planning & implementation of event based trigger, birthday calls, campaign calls and other defined

objectives for customer contacts.

• Ensure that VRMs offers highest quality of service to the customers and meets expected Service Quality standard by educating the customers to use the Digital Banking mediums for their banking transactions /

needs. This is measured iva call evaluations and Sup

Reviews

• To review contact attempts versus successful contacts and analyze reasons for non-contactable customers.

• Ensure VRMs make regular contact with customers for service as well as business updates.

• Adequate logging of complaints, Reviewing & Resolving all complaints received (VRM, self, branch, other units) within the stipulated TAT

o Monitor all complaints received and ensure that they are closed within TAT

o Improve customer communication on closures

o Check with customers if the process of complaint has been managed well

o Ensure no escalations happen

o Preventive complaint management

o Asking for feedback from customers, who are not complaining

o Discussing with staff the importance of getting feedback from customers on a regular basis

• Periodic review of customer base i.e. Contactibility,

Eligibility, Product penetration & profitability. Take appropriate action to activate every customer / improve

the product penetration & profitability of the customer to become a Primary Banker for the customer.

• Carry out VoC to assess strengths and to ascertain possible improvement areas of the VRMs basis customer feedback. Take appropriate action- reward the VRM for appreciations/ corrective actions as required.

• Monitoring implementation of Sales Process.

Business Generation / Sales Tracking

• Track business generation and income for each VRM in the team on a daily basis, and take due steps.

• Review leads generated by each VRM and ensure real time lead status availability.

• Ensure VRMs actively track their leads, keep customer regularly updated on the lead status & complete the lead closure within a reasonable timeline, basis product.

• Tracking and highlighting possible customer issues on account of improper lead management.

Supervisory Reviews frequency to assess effectiveness of customer interactions by the VRM.

• Check profiling done by the VRM to ascertain effectiveness.

• Basis interaction logs captured by the VRM & discussions with the VRM, provide directional inputs on customer interactions & business generation.

• Demonstrate “how”.

• 220 Sup Reviews per month

Operations

• Conduct internal checks on a regular basis & share a feedback with VRMs on time to time.

o Lead file maintained by VRMs

o Requests captured by VRMs

o CRM Interaction

• Ensure seamless handling of operations areas such as customer requests, transactions, reversals etc.

• Handling queries of VRMs on floor and provide resolution.

• Ensure timely responses to customers through call out/ e-mail as applicable.

• Handle 1st level escalations and ensure satisfactory resolution to the grievances.

• Ensure judicious utilization of financial and non- financial authority.

• Carry out periodic checks to ensure team adheres to Audit & Compliance guidelines.

Audit and Service Quality

• Ensure all laid down system and process are followed as stipulated by Audit and Senior Management

• Monthly / Periodic Verification of Auditable items.

• Review of CRM Interactions & Lead Quality

 MIS - Reporting and Analysis :

• Ensure timely and accurate updates of all portfolio

performance parameters.

• Maintain track of VRM performance w.r.t leads, conversions, income, achievement of portfolio parameters etc.

 Staff Management

• Ensure that all VRMs are adequately trained on the

Products of the bank and sales process

• Ensure that all VRMs are trained on the process to be followed (including amendment with new circulars)

• Be aware and make VRMs aware of various policies of the bank with regard to customer service and compliance

• Monitor productivity and give guidance on improving the same in conjunction with the Line Manager / Unit Head.

• Ensure that all VRMs are sent for class room training on a regular basis

• Ensure that nominated VRMs attend training sessions conducted by HR, without fail.


Read more
Users love Cutshort
Read about what our users have to say about finding their next opportunity on Cutshort.
Shubham Vishwakarma's profile image

Shubham Vishwakarma

Full Stack Developer - Averlon
I had an amazing experience. It was a delight getting interviewed via Cutshort. The entire end to end process was amazing. I would like to mention Reshika, she was just amazing wrt guiding me through the process. Thank you team.
Companies hiring on Cutshort
companies logos

About HDFC Bank

Founded :
2019
Type :
Products & Services
Size :
0-20
Stage :
Raised funding

About

CareerSMITH is an executive search and recruiting firm for the engineering, construction, oil and gas, federal, power, energy, environmental, architectural, mining and metals industries.
Read more

Company social profiles

N/A

Similar jobs

Antier Solutions Pvt. Ltd (Antech)
Alisha Bathla
Posted by Alisha Bathla
Mohali, Chandigarh
5 - 12 yrs
₹9L - ₹15L / yr
Growth Hacking

Roles and Responsibilities: ● Develop and execute innovative online and offline campaigns with a strong emphasis on Web3 strategies, including NFT promotions, blockchain-based gamification, digital marketing, brand partnerships, and on-ground activation to drive substantial traffic to digital channels. ● Identify, develop, and optimize growth channels specific to the Web3 ecosystem, aiming to maximize revenue growth through decentralized platforms and technologies. ● Analyze marketing data, user feedback, and blockchain analytics to shape future Web3-centric marketing strategies. ● Propose new Web3 initiatives to attract prospects and convert leads, leveraging smart contracts, DAOs, and tokenomics to engage with a tech-savvy audience. ● Provide insights and expertise to enhance the efficacy of marketing activities, focusing on content development, optimization, and advertising within the Web3 space. ● Plan and execute initiatives to reach the target audience through various channels, including traditional digital channels and emerging Web3 platforms. ● Work closely with other teams to share ideas, feedback, and present results, fostering an environment of innovation and cross-functional synergy in the realm of Web3. Key Requirements: ● You possess a degree in Marketing, Business Administration, or a related field, with a strong preference for candidates with additional certification or experience in blockchain and Web3 technologies. ● You have prior experience in a growth hacking role with a specific focus on Web3 projects or companies. ● Excellent analytical skills with the ability to leverage data, metrics, analytics, consumer behaviour trends, and blockchain analytics to generate creative and innovative ideas. ● A highly goal-oriented individual who is resilient in your pursuit of growth within the fast-evolving Web3 ecosystem. ● Open-minded, curious, and a strong problem solver with a passion for exploring new technologies and platforms in the Web3 space

Read more
NASDAQ listed, Service Provider IT Company
NASDAQ listed, Service Provider IT Company
Agency job
via CaptiveAide Advisory Pvt Ltd by Abhishek Dhuria
Bengaluru (Bangalore), Hyderabad
10 - 15 yrs
₹45L - ₹50L / yr
skill iconSpring Boot
Microservices
skill iconVue.js
skill iconAngularJS (1.x)
skill iconAngular (2+)
+10 more

Job Description:

Responsible for designing, developing, and maintaining full-fledged software platforms that can function independently without relying on third-party applications. Work on both the front-end and back-end development processes, using a range of technologies and languages such as Java, Springboot, microservices, cloud with Angular or react.


Essential functions:

  • Strategic Architectural Design Lead the design and development of complex, enterprise-level software systems.
  • Evaluate emerging technologies and recommend optimal architectural patterns.
  • Ensure software solutions align with business requirements and long-term goals.
  • Technical Leadership Mentor and guide junior developers on best practices and coding standards.
  • Collaborate with cross-functional teams to coordinate development efforts.
  • Make data-driven decisions to optimize system performance and scalability.
  • FullStack Development Hands-on coding and implementation of front-end, back-end, and database components.
  • Develop robust APIs, microservices, and cloud-native applications.
  • Implement security measures and ensure compliance with industry standards.
  • Continuous Improvement Identify opportunities to refactor and modernize existing systems.
  • Automate deployment pipelines and streamline DevOps processes.
  • Conduct code reviews and provide constructive feedback to the team.
  • Knowledge Sharing Document technical specifications, design patterns, and implementation details.
  • Conduct training sessions and workshops to upskill the development team.
  • Stay-up-dated on the latest Java ecosystem and share industry insights.


Qualifications/ Experience & Skills:

  • Computer engineering/ Computer Science OR equivalent degree.
  • 10-15 Years of total experience.
  • As an experienced Full Stack Architect, individuals would be responsible for driving the technical vision, leading the development team, and ensuring the delivery of high-quality, scalable software solutions that meet business objectives.
  • Notice period: immediate - 30 days would be preferred.


Would be a plus :

  • Experience in Enterprise architecture
Read more
Renowned Consumer Food Brand
Renowned Consumer Food Brand
Agency job
via Merito by Jinita Sumaria
Ahmedabad
2 - 4 yrs
₹2.5L - ₹4L / yr
Management Information System (MIS)
Tableau
Dashboard
Data extraction
Advanced Excel
+1 more
We are looking for a MIS Executive for one of the renowned Consumer food brands in India.

Role - MIS Executive
Experience - 2 to 4 years
Job Location - Ahmedabad
 
About Company - 
Our Client is India's largest hand-crafted Artisan bakery brand, known for the authenticity of products and processes. Most of our products are made with all natural ingredients, without preservatives, and are 100% wholewheat. Available at your doorstep with 40+ stores and more than 1000 touchpoints online & offline in the marketplace.
 
Roles & Responsibilities:

- Maintenance of existing management information systems.
- Generate and distribute management reports in an accurate and timely manner.
- Use Advanced Excel capabilities, including pivot tables, look-ups, complex formulas and graphing to streamline business processes.
- Ability to understand complex data, analyze and make reports and dashboards
- Extract the data from the designated portal and update it.
- Provide recommendations to update current MIS to improve reporting efficiency and consistency.
- Perform data analysis for generating reports on a periodic basis.
- Provide strong reporting and analytical information support to the management team.
- Generate both periodic and ad hoc reports as required.
- Analyze business information to identify process improvements for increasing business efficiency and effectiveness.
- Provide support and assistance to management in issue troubleshooting and resolution.
 
Requirements:

- Handling database management by using Advanced Excel tools & MS Access
- Should be proficient with Advanced Excel Formulas such as, Pivot Table, Lookups, Index Formatting, Conditional Formatting.
- Exp in Tableau, Dashboard creation & Data crunching & extraction
- Qualification: Bachelor's degree with experience of 2 - 4 Years
- 2 to 4 Years experience in MIS and Dashboarding is a must.
 
Read more
Woliba
Nathan Figg
Posted by Nathan Figg
Remote only
4 - 10 yrs
₹12L - ₹24L / yr
skill iconSwift
skill iconObjective C
skill iconiOS App Development
Xcode
Model-View-View-Model (MVVM)
+2 more

iOS Engineer for Woliba

Job Overview

We are looking for an experienced iOS Engineer who will continue to improve our mobile application including but not limited to performance, UI and UX. You’ll be responsible for building new and supporting existing product features.

The ideal candidate will have the ability to prioritize well, communicate clearly, have a consistent track record of delivery and have excellent software engineering skills. Creative engineering is balanced with high quality and a customer focus. Must be able to work across multiple facets of the project and juggle multiple product features at the same time. Strong analytic capability and the ability to create innovative solutions. We are looking for a highly motivated individual who is looking to grow their career in a fast-paced environment.


Responsibilities 

  • Design and build applications for iOS platforms
  • Ensure performance and quality of the application
  • Collaborate with a cross-functional team to define and design the app
  • Perform checks on the correct functionality of the app
  • Integrate the application with backend tools such as cloud, APIs and push notifications
  • Responsible to help maintain code quality, organization and automation
  • Responsible for providing prototypes and proofs of concepts
  • Use encryption tools to safeguard content
  • Research, evaluate and adopt next-generation technologies 

 

Qualifications and Skills 

  • IT Certification, required
  • Expert in Objective-C and Swift programming
  • UI and UX experience
  • Proficiency with 2D and 3D graphics frameworks
  • Experience with iOS frameworks
  • Adept with benchmarking and modification
  • Familiar with Apple's design principles and interface guidelines
  • Proficiency with offline storage, threading and performance tuning
  • Knowledgeable of cloud message API and push notifications_
  • Experience working in an agile environment that follows Scrum or other agile methodologies 
  • Experience developing software for Enterprise clients is preferred 
  • Excellent time management skills, with the ability to work under deadlines in a fast-paced environment
  • Attention to detail and commitment to high-quality/error-free deliverables
  • Great communication and problem-solving skills
  • Detail-oriented and a critical thinker 
  • A team player and leader but can work independently. 
  • Experience with Github and tools like Bugsnag or Sentry is a plus 

 

About you

  • Bachelor’s or Master’s in Computer Science or Computer Engineering  
  • 4+ years of iOS development experience 
  • Understanding of data structures and algorithms
  • Demonstrated experience with multi-tier applications

 

 

Our Culture

We help companies manage their biggest asset, their people. We are disrupting the corporate wellness market to help companies large and small to support employee and workplace wellbeing. If you’re ready to join our growing team and have the opportunity to be a part of a company that is focused on Woliba (Work-Life-Balance) then we’d love to hear from you. 

Read more
Walnut Financial
Hana Bendy
Posted by Hana Bendy
Mumbai
4 - 12 yrs
₹1L - ₹15L / yr
skill iconVue.js
skill iconAngularJS (1.x)
skill iconAngular (2+)
skill iconReact.js
skill iconJavascript
+14 more
About the Role
As a Technical/Team Lead, you will be an integral member of a small, fast-paced engineering team building our core product and scaling it as the company grows. This is a tremendous  opportunity to influence the growth of a new team and build a novel and impactful technology that improves the financial well-being of patients. It’s an ideal position for those looking to build out a team and collaborate with top talent. 
 
Salary based on experience. Range: 45L - 67L (Total Comp: Base + Stock)
 
This is a hybrid position, with the expectation of a few days in an office.

What You'll Do

    • As an early member of our engineering team, you will work directly with the CTO and Head of Product to spec, design, and build the core product functionality
    • Help us build out a reliable team in India that knows our tech stack and is passionate about the work that we do.
    • Motivate and lead a team of software developers to reach and exceed company goals.
    • Solve problems you don't know how to solve: You'll encounter challenges we haven't yet come across yet. And when the time comes, you'll think through problems, evaluate approaches and teach yourself whatever is needed.
    • Lay a solid foundation for the product to scale, keep our technical debt in check and help us hire a team of incredible engineers.

What We're Looking For

    • 6+ years of experience as a software engineer
    • 1+ years of experience leading projects or teams of engineers
    • Hustle & Grit. Willingness and desire to take on personal responsibility for the business as a whole and “figure it out”, whether it’s in your exact functional lane or not.
    • Excitement and passion for expanding financial access to healthcare.
    • Experience with Java, a relational database, React (or a comparable front-end framework) and building full-stack web apps.
    • A great understanding of how Restful services and Gradle works
    • Ability to overlap with EST morning hours
    • Our full tech stack includes: Docker, Spring, Gradle, Hibernate, ExpressJS, NextJS, Amazon Web Services, Java, N8N, Python
Read more
Our community of donors and fundraisers. (MI1)
Our community of donors and fundraisers. (MI1)
Agency job
via Multi Recruit by Kavitha S
Remote only
4 - 6 yrs
₹18L - ₹20L / yr
skill iconReact Native
  • Part of the core team responsible for powering the various apps and moving parts of the product
  • Architect, build and maintain excellent React Native applications with clean code.
  • Integrate third-party API's.
  • Release applications to the Apple and Google Play stores.
  • Design new and re-design existing user-facing features
  • Design, build and own key technology decisions and implementations
  • Wear multiple hats - that of an architect, developer or product owner
  • Collaborate with other team members to find optimal solutions and engineering workarounds based on resource/time constraints
  • Communicate development status and features to the rest of the team members
  • Manage interactions with designers, testers and other developers
  • Define and enforce front end coding standards, accessibility and other technical standards

Required Skills :

  • 4 - 6  years of overall software development experience
  • 3+ years of professional experience in Native React
  • Highly proficient in rendering components with Native APIs
  • Highly proficient in JavaScript, modern JavaScript, HTML, 
  • Highly proficient in one or more libraries (e.g. Redux, React Router, etc) for state managing and routing in addition to React.
  • Proficient in using Git as a control system 
  • Experience with collaborative code development in small agile teams and managing releases (Git, Gerrit, Continuous Integration)
  • Outstanding understanding of software design and architecture
  • An understanding of React Native best practices and a commitment to following them.
  • Ability to work through new and difficult React Native issues and contribute to libraries as needed.
  • Ability to create and maintain continuous integration and delivery of React Native applications.

 

 

 

 

Read more
Krish Group
at Krish Group
1 recruiter
Kajal Rawat
Posted by Kajal Rawat
Bhiwadi, NCR (Delhi | Gurgaon | Noida)
8 - 12 yrs
₹6L - ₹11L / yr
Sales
Marketing
Product packaging
Manufacturing
domestic & export sales
+1 more

Company Name:- Pack Plannet Pvt. Ltd.
Position:- GM Sales

Industry: Craft & paper bag

Salary: 50k to 01 lacks CTC PM

Skills required: Domestic and Export Sales

1. Hiring for craft & paper bag industry. ( New company under Krish group )

2. Candidate should have 9-12 years of working experience in the craft & paper bag industry.

3. Should have knowledge of domestic and export sales.

4. Field sales operation handling experience.

5. Team Handling.

Read more
Ideal Image
Ideal Image
Agency job
via WeSpire HR Services by Pawan Mishra
Remote only
5 - 10 yrs
₹10L - ₹30L / yr
Tabular
Tabular Developer
SQL Server Analysis Services (SSAS)
SSAS
Tabular Data Engineer
+3 more
Job description:
SSAS / Tabular Developer to work on their existing platform and take on new features as they emerge. This is a fast-paced team that operates in an agile environment. They do weekly sprints.

The ideal candidate is a motivated individual that is excited about making an impact and is ready to operate in a fast-paced environment and can clearly demonstrate a thirst for learning and mastering emerging technologies, processes and tools in the analytics space. This person should take initiative and shouldn't be an order taker. They should enjoy optimizing data models to industry standards.

Key Requirements:
1. Enterprise level SSAS / Tabular DAX Modeling experience
2. Experience with SQL Querying
3. Experienced with agile processes and methodologies
4. Experience with Production Models & troubleshooting skills
5. Ability to demonstrate understanding of business requirements & business concepts

Following skills are not required but are a plus
:
6. Experience working with a team using Git
7. Azure Data Factory Experience
8. Experience with Build/Release Pipelines in Azure DevOps
Read more
ZipLoan
at ZipLoan
3 recruiters
Pooja Pareek
Posted by Pooja Pareek
NCR (Delhi | Gurgaon | Noida)
3 - 7 yrs
Best in industry
skill iconPython
skill iconDjango
skill iconFlask
Technical Architecture

Role – Technical Architect

 

Job Description

 

ZipLoan is looking out for a strong technology leader of Software Product Engineering, with a Technical Experience of about 12+ years, and hands-on experience in Software Product Development and ownership. You will head our Platform team which will be tasked with building ZipLoan's platform layer along with a set of engineers reporting to you.

 

Role:

 

  1. Understand the business end-to-end in order to drive a use-case driven architecture.
  2. Identify parts of the code-base which can be made reusable as modules or services.
  3. Propose architecture improvements to provide reliability and robustness at scale.
  4. Provide a roadmap for evolution of the technology ecosystem.
  5. Identify and erase technical debt.
  6. Provide consultancy to engineering teams on specific design challenges.
  7. Propose engineering best practices and help teams in adopting them.

 

Desired Profile:

 

  1. 12 years min experience in core software development.
  2. Hands-on experience building consumer Web/mobile apps at scale.
  3. Strong exposure to open-source technology – Python and other languages,

Linux, SQL and NoSQL databases, Web development frameworks

  1. Strong architecture skills.
  2. Ability to mentor engineering team members effectively.
  3. Preferably a strong full-stack engineer or at least strong backend skills with some front-end skill.

 

Read more
BLR LABS Pvt Ltd
Bengaluru (Bangalore)
3 - 8 yrs
₹13L - ₹40L / yr
floorplanning
timing closure
STA
JOB DESCRIPTION PHYSCIAL DESIGN MANDATORY IMPLEMENTATION SKILLS • Netlist to GDSII at block level, Subsystem Level and at Full chip. • Worked on multiple tapeouts on Netlist to GDSII • Hierarchical partitioning and budgeting of block-level subsystems. • Implementation of high performance (HP) cores, low power designs • Node experience upto 7nm, 10nm, 14nm, 28nm. • Timing Signoff in loop through STA and ECO cycle at block and at interface. • Block level floor planning, power planning and IR drop analysis. • Scan chain reordering / Scan Chain repartitioning • CTS expertise and clock tree constraints creation for meeting specifications • MMMC optimization at Block and Sub-System Level • Timing closure with Crosstalk and AOCV / POCV • TCL scripting to fundamentally understand tool usage. MANDATORY EDA SKILLS • PnR tools such as Synopsys ICC/ICC2 and/or Cadence Innovus and/or Mentor Graphics Olympus/Pinnacle and /or Atoptech Apisa/Apogee OPTIONAL AND GOOD TO HAVE SKILLS • Good knowledge of standard cell libraries - circuit design and cell layout. • Good understanding of STA, EM / IR and sign-off flows • Formal verification at various levels of design implementation • Low Power Design (General Methodology, CPF, UPF, atleast one of them) • PERL Scripting and creating quick procedures for solutions • Full Chip Implementation will be an added advantage • Develop, customize, support and maintain physical design flows and methodologies. OPTIONAL AND EDA SKILLS DC, PT, PT-SI, Tweaker, Calibre, LEC, CLP, Spyglass PD HIRING REQUIREMENT RANGE 3 – 6 years 7 positions Block Level and Sub-System Level Implementations mandatory 7+ years 5 positions Block Level, Sub-System, Top-Level Implementations mandatory LOCATION Bangalore, India About the company : BLR Labs is a well funded startup Founded and managed by Passionate, Senior industry Professionals having more than 100 man years’, serial entrepreneurship experience from, Automotive, Mobility, Telecom, VLSI and Embedded Systems Design Engineering. We are a fast growing team of 50 and expecting to reach to 200 mark by end of the current FY. “BLR Labs aspires to create visionaries and entrepreneurs through co-creation. Great companies are built around great people & BLR Labs to achieve our vision have given highest importance and invested in building strong fundamentals such as 4Es (Express, Empathize, Empower & Enroll) & 4Is (Integrity, Innovation, Integration & Intellect) to nurture & cherish our talent force such as being an equal opportunity employer, skill upgradation to enhance our engineers to Intellect levels, creating a culture office to promote fearless & compassionate attitude through our fun @ work programs etc makes for a great working space. We have plans to establish, Labs, ODCs for various MNCs and to get started with our Overseas operations in the near future.
Read more
Why apply to jobs via Cutshort
people_solving_puzzle
Personalized job matches
Stop wasting time. Get matched with jobs that meet your skills, aspirations and preferences.
people_verifying_people
Verified hiring teams
See actual hiring teams, find common social connections or connect with them directly. No 3rd party agencies here.
ai_chip
Move faster with AI
We use AI to get you faster responses, recommendations and unmatched user experience.
21,01,133
Matches delivered
37,12,187
Network size
15,000
Companies hiring
Did not find a job you were looking for?
icon
Search for relevant jobs from 10000+ companies such as Google, Amazon & Uber actively hiring on Cutshort.
companies logo
companies logo
companies logo
companies logo
companies logo
Get to hear about interesting companies hiring right now
Company logo
Company logo
Company logo
Company logo
Company logo
Linkedin iconFollow Cutshort
Users love Cutshort
Read about what our users have to say about finding their next opportunity on Cutshort.
Shubham Vishwakarma's profile image

Shubham Vishwakarma

Full Stack Developer - Averlon
I had an amazing experience. It was a delight getting interviewed via Cutshort. The entire end to end process was amazing. I would like to mention Reshika, she was just amazing wrt guiding me through the process. Thank you team.
Companies hiring on Cutshort
companies logos