

Rivos Inc
https://www.rivosinc.comAbout
Company social profiles
Jobs at Rivos Inc

The recruiter has not been active on this job recently. You may apply but please expect a delayed response.
Responsibilities
- Schematic capture, board block design, defining routing constraints, layout review, and simulation
- System design for chip bring-up boards and final systems
- Lab bring-up, test, and debugging
- Co-designing with power and signal integrity analysis specialists
- High-speed interface characterization
- Debugging system-level issues
- Resolving system-level issues related to silicon validation and characterization
- Work with ODMs to facilitate the NPI (New Product Introduction) process
Requirements
- Experience designing SoC/CPU boards (schematic, layout, manufacturing, debug)
- Familiarity with a variety of board-level interfaces: I2C, SPI, DDR, etc
- Knowledge of high-speed, high-power, system design
- Design and analysis of power supplies
- CPU/SoC/Microcontroller system architecture familiarity
- Test automation expertise using Python or other languages
- Board-level analog and digital circuit design expertise
- HDL experience is a plus
- Excellent skills in problem-solving, written and verbal communication, excellent organization skills, and highly self-motivated.
- Ability to work well in a team and be productive under aggressive schedules.
- Desire to learn new skills and attack novel problems.
- Able to travel to ODM’s factory and/or Rivos’s oversea office for on-site support and meetings
Education and Experience
- Master’s Degree or Bachelor’s Degree in the technical subject area.
Note
Annual job salary: The annual job salary mentioned in the posting is a default number taken by cutshort and is inaccurate.
Resumes
Interested folks with 3+ years of experience, Please reach out to the Job Poster to learn more about the job and discuss details.

The recruiter has not been active on this job recently. You may apply but please expect a delayed response.
Responsibilities
- Responsible for performing verification for AMS designs.
- Create UVM benches for mixed signal blocks and developing test scenarios.
- Work closely with the architecture and design teams on verification plan and methodology to achieve complete verification coverage
- Write assertions and checkers for the properties and corner cases
- Analyze verification coverage and improve the test cases
- Integrate analogue design IPs from vendors and internal teams and develop verification environments for simulation and emulation
Requirements
- Detailed knowledge of verification using SystemVerilog
- Experience with creating UVM based testbenches for Analog Mixed-Signal applications
- Experience with assertion based verification for analog blocks
- Experience with power aware verification with UPF will be a plus
- UVM-AMS experience preferred
- Experience with sv-real preferred
- Scripting skills in perl / python is preferred
- Excellent communication skills
- Team player with an ability to encourage team members
Education & Experience
- MS (preferred in EE and CE) plus 5 years
Note:
Annual job salary: The annual job salary mentioned in this posting is a default number taken by cutshort and is inaccurate. <Not mentioned/ disclosed by Rivos>
Resumes:
Interested folks with 5+ years to 20 years of experience into AMS Verification, Please reach out to the Recruiter Deepa Savant to learn more about the job and discuss details.

The recruiter has not been active on this job recently. You may apply but please expect a delayed response.
Responsibilities
- Responsible for design and spec development and design of analog blocks for advanced mixed-signal / analog circuits.
- Write detailed design specification and will be in close collaboration with the system architect, circuit designers and design verification engineers.
- Work on behavioral modeling of analog blocks and support design verification to ensure bug free silicon.
- Lead development of analog blocks in collaboration with external vendors and lead integration, test plan and characterization efforts.
Requirements
- Strong track record of architect, develop, verification and validation of complete silicon IPs
- Deep understanding of bandgaps, bias, opamps, switched-cap circuits, LDOs, PLLs, feedback and compensation techniques, DCDC converters
- In-depth knowledge and good understanding of analog design techniques.
- Experience in digital integration of analog IPs with chip level integration team
- Experience in developing behavior modeling a plus
- Experience IP design management or vendor management a plus
- Strong device physics knowledge as it applies to analog IC design
- Hand-on experience with IP lab characterization using spectrum analyzers, oscilloscopes, signal generators, etc.
- Experience in working with production test engineers to produce test plans and design for testability details
- Excellent communication skills
- Team player with an ability to encourage team members
Education & Experience
- MS (preferred in EE) plus 8 years
- PhD (preferred in EE) plus 5 years
Note:
Annual job salary: The annual job salary mentioned in this posting is a default number taken by cutshort and is inaccurate. <Not mentioned/ disclosed by Rivos>
Resumes:
Interested folks with 3+ years to 20 years of experience into AMS Design, Please reach out to the Recruiter Deepa Savant to learn more about the job and discuss details.

The recruiter has not been active on this job recently. You may apply but please expect a delayed response.

Responsibilities
- Define DFT strategy and methodologies
- Design the DFT features
- Define test structures, debug structures, and test plans
- Create test vectors or oversee their creation
- Collaborate with physical design team to close requirements
- Validate DFT requirements are being met
- Work with designers to increase test coverage, debug observability and flexibility
- Verify post-PD designs meet DFT requirements
- Work with verification engineers, stepping in to do run tests when needed
Requirements
- Good knowledge of digital logic design, microprocessor, debug feature, DFT architecture, CPU architecture, and microarchitecture
- Knowledge of DFT and structural debug concepts and methodologies: JTAG, IEEE1500, MBIST, scan dump, memory dump
- Knowledge of Verilog and experience with simulators and waveform debugging tools
- Knowledge of Verilog / SystemVerilog
- Knowledge of Python, , Shell scripting, Makefiles, TCL a plus
- Excellent skills in problem solving, written and verbal communication, excellent organization skills, and highly self-motivated.
- Ability to work well in a team and be productive under aggressive schedules.
Note:
Annual job salary: The annual job salary mentioned in this posting is a default number taken by cutshort and is inaccurate. <Not mentioned/ disclosed by Rivos>
Resumes:
Interested folks with 3+ years of experience to 20 years of experience into Silicon DFT, Please reach out to the Recruiter Deepa Savant to learn more about the job and discuss details.

The recruiter has not been active on this job recently. You may apply but please expect a delayed response.
Responsibilities
- Work closely with architecture and RTL designers on verifying the functionality correctness of the design
- Reviewing Architecture and Design Specifications
- Develop test plans and test environments
- Develop tests in assembly, C/C++, or vectors according to test plans
- Develop coverage monitors and analyze coverage to ensure all the test cases in the plans are covered
- Develop checkers in SystemVerilog or C-base transactors to verify the design
- Write assertions and apply formal verification to the designImplementing test benches, generating directed/constrained random tests
- Debugging failures, running simulations, tracking bugs
- Handling schedules and supporting multi-functional engineering effortAssisting in verification flows, automation scripts and regressions
Requirements
- In-depth knowledge of digital logic design, CPU/SOC architecture and microarchitecture.
- Sophisticated knowledge of SystemVerilog.
- Experienced level knowledge C/C++.Relevant knowledge of verification methodologies and tools such as simulators, waveform viewers, build and run automation, coverage collection.
- Basic knowledge of formal verification methodology is a plus.
- Excellent knowledge of one of the scripting languages such as Python, TCL is a plus.
- Excellent skills in problem solving, written and verbal communication, excellent organization skills, and highly self-motivated.
- Ability to work well in a team and be productive under aggressive schedules.
Education and Experience
- PhD, Master’s Degree or Bachelor’s Degree in technical subject area.
Note:
Annual job salary: The annual job salary mentioned in this posting is a default number taken by cutshort and is inaccurate. <Not mentioned/ disclosed by Rivos>
Resumes:
Interested folks with 2+ years to 20 years of experience into Silicon CPU or SOC Verification, Please reach out to the Recruiter Deepa Savant to learn more about the job and discuss details.

The recruiter has not been active on this job recently. You may apply but please expect a delayed response.
Join the most cutting-edge and well-funded hardware startup in Silicon Valley as a CAD Engineer. Our mission is to reimagine silicon and create computing platforms that will transform the industry. You will have the opportunity to work with some of the most talented and passionate engineers in the world to create designs that push the envelope on performance, energy efficiency and scalability. We offer a fun, creative and flexible work environment, with a shared vision to build products to change the world.
Responsibilities
- Work with chip leads to understand the logic design and verification methodology and high level requirements in support of RTL design
- Collaborate with chip leads to determine other areas to support current or future designs that can benefit from automation and tooling
- Define and implement new infrastructure capabilities, tool flows, and environments that can be used to accelerate design and development
Requirements
- Strong fundamentals in digital ASIC design; experience using SystemVerilog
- Strong skills in various front end design tools and techniques such as logic equivalence, lint checks, clock and reset domain crossing and DFT
- Knowledge of SOC/CPU architecture
- Familiarity with high performance and low power design techniques
- Hands on experience in digital design
- Excellent skills in problem solving, written and verbal communication, excellent organization skills, and highly self-motivated
- Ability to work well in a team and be productive under aggressive schedules.
Education and Experience
- PhD, Master’s Degree or Bachelor’s Degree in technical subject area.
Note:
Annual job salary: The annual job salary mentioned in this posting is a default number taken by cutshort and is inaccurate. <Not mentioned/ disclosed by Rivos>
Resumes:
Interested folks with 3+ years of experience to 20 years of experience into Silicon CAD, Please reach out to the Recruiter Deepa Savant to learn more about the job and discuss details.

The recruiter has not been active on this job recently. You may apply but please expect a delayed response.
Key qualifications•
The ideal candidate will have 4+ years of experience in backend design automation and standard cell characterization
• Prior experience and proven success of successfully designing high performance standard cells is desired
• Solid knowledge of circuit analysis, reliability, extraction, and SPICE simulation to validate design performance
• Knowledge of industry standard hardware design CAD software and required standard cell design view generation and validation.
• Solid foundation of scripting fluency in TCL, Perl/Python
• Basic knowledge of advanced FinFet device and standard cell circuit and layout
• Ability to work well in a team and be productive under aggressive schedules.
• Excellent problem solving, written and verbal communicationResponsibilities• Responsible for timing and power characterization and generation of standard cell EDA views,
• Other job duties include performing QA including EM/IR and design checks
• adding automation to improve design productivity
Note:
Annual job salary: The annual job salary mentioned in this posting is a default number taken by cutshort and is inaccurate. <Not mentioned/ disclosed by Rivos>
Resumes:
Interested folks with 4+ years of experience in Standard cell, Please reach out to the Recruiter Deepa Savant to learn more about the job and discuss details.

The recruiter has not been active on this job recently. You may apply but please expect a delayed response.
Custom Memory Design
SRAM/custom circuit design and standard cell design
Qualifications • Candidates must have 7+ years of experience in transistor level custom circuit design from RTL-GDS for CPU and SoC, circuit simulation, equivalence checking, PPA trade off analysis, low power design techniques, timing, noise and power characterization • Prior experience and proven success of successfully designing high performance SRAM memories, Register file memories, SRAM compilers, data path designs and standard cells • Experience designing transistor-level custom circuits in advanced FinFET technology nodes • Solid understanding of device physics, process technology and circuit design techniques for high performance, low power • Experience with advanced process design rules and supervising mask design • Knowledge developing automation for compilers and standard cells • Post-Silicon test and debug experience • Ability to work well in a team and be productive under aggressive schedules • Excellent problem solving, written and verbal communication • Master's Degree or Bachelor's Degree with 7+ years of experience |
Responsibilities • The role will be at the center of a state-of-the-art circuit design effort, interfacing with all disciplines and have a critical impact on getting products to market quickly • Responsible for designing and delivering custom circuits from scratch • Drive design and development of SRAM, register file, custom cells to enable high performance and low power designs • Work with microarchitecture team to gather specifications • Drive optimal implementation Conduct early sizing estimates and PPA analysis • Perform design entry and simulations for optimal design sizing • Work closely with mask designers on custom design implementation, DFM and yield enhancement features • Collaborate with the CPU and SoC Physical design teams on floorplanning, placement, timing and power closure of the custom design • Interact with technology team • Participate in developing design and test plans Collaborate with the CAD team and drive design flow enhancements |
Job description
Rivos Custom Circuits team is seeking highly motivated candidates to develop state of the art custom SRAM memories, Register file memories, memory compilers and standard cells to improve circuit performance, optimize dynamic and static power and support silicon bring up. The role will be at the center of a state-of-the-art circuit design effort, interfacing with all disciplines and have a critical impact on getting products to market quickly.
Responsibilities
- Responsible for designing and delivering custom circuits from scratch.
- Drive design and development of SRAM, register file, custom cells to enable high performance and low power designsWork with microarchitecture team to gather specifications
- Drive optimal implementation Conduct early sizing estimates and PPA analysis. Perform design entry and simulations for optimal design sizingWork closely with mask designers on custom design implementation, DFM and yield enhancement featuresCollaborate with the CPU and SoC Physical design teams on floorplanning, placement, timing and power closure of the custom designInteract with technology team
- Participate in developing design and test plans Collaborate with the CAD team and drive design flow enhancements
Qualification
- Candidates must have 7+ years of experience in transistor level custom circuit design from RTL-GDS for CPU and SoC, circuit simulation, equivalence checking, PPA trade off analysis, low power design techniques, timing, noise and power characterization.
- Prior experience and proven success of successfully designing high performance SRAM memories, Register file memories, SRAM compilers, data path designs and standard cells
- Experience designing transistor-level custom circuits in advanced FinFET technology nodes
- Solid understanding of device physics, process technology and circuit design techniques for high performance, low power
- Experience with advanced process design rules and supervising mask design
- Knowledge developing automation for compilers and standard cells
- Post-Silicon test and debug experience
- Ability to work well in a team and be productive under aggressive schedules.
- Excellent problem solving, written and verbal communication
Education and Experience
- Master's Degree or Bachelor's Degree with 7+ years of experience

Similar companies
About the company
Simform is a premier digital engineering company specializing in Cloud, Data, AI/ML, and Experience Engineering to create seamless digital experiences and scalable products.
Simform, with its deep engineering DNA and unique co-engineering delivery model, is renowned for building future-proof digital products for high-growth ISVs and tech-enabled enterprises.
Our deep-rooted heritage in UX-led experience engineering, coupled with our unparalleled expertise in Cloud, Data, and AI, enables us to build class-leading digital solutions for forward-thinking enterprises.
We have a solid and proven track record of delivering pioneering digital products and solutions in the high-tech, fintech, healthcare & life sciences, supply chain & logistics, retail & ecommerce, and professional services industries.
With a gamut of capabilities under our portfolio, we offer a complete range of digital engineering services, such as:
• Product and Platforms Engineering
• Cloud and DevOps Engineering
• Data Engineering
• AI/ML Engineering
• Digital and Experience Engineering
At Simform, we see software technology programs as dynamic and evolving journeys. Our commitment is to drive early success for our customers. Connect with our team of consultants to outline your initial milestones and develop a compelling Proof-of-Value.
Jobs
16
About the company
MyYogaTeacher is a well funded Silicon Valley startup with headquarters based in California, USA and Bangalore, India. At MyYogaTeacher we are building a marketplace for Yoga & Fitness coaching with a goal of making the world a healthier and happier place. Checkout myyogateacher.com/aboutus for more details.
We have a team of 60+ people across various functions – Marketing, Product, Engineering, SDET, Customer Support, Design, Operations, Data Science and Executive Leadership. We hire extremely skilled, smart, trustworthy, kind, supportive, and caring people. You will be working in a stimulating environment. We started in 2018 and have been showing tremendous traction with rave customer reviews.
- Over 200,000 happy customers
- Over 335,000 5 star reviews
- Over 150 Highly qualified coaches on the platform
- 95% of sessions are being completed with 5-star rating
Being a seed funded company, there is a tremendous scope for growth for people joining at this stage. You could potentially catapult 5-10 years of your career by working in a team like our’s! You will be working closely with the executive leadership.
The CEO is Jitendra Gupta, and this is his 3rd business. His previous venture (Punchh) was acquired for $500 Million in 2021. He has hand picked everyone on the team.
We are looking for passionate people like you to join us in this journey! We put our employees' well-being at the forefront by providing competitive industry salaries and robust benefits packages. We're proud to foster an inclusive workplace and make a positive impact on the community. Additionally, we actively promote internal mobility and professional development at every stage of your career.
Read more on our mission and culture at https://myyogateacher.com/articles/company-mission-culture
Jobs
7
About the company
Dozee is India’s 1st AI-based contactless Remote Patient Monitoring (RPM) & Early Warning System (EWS) for continuous patient monitoring with a mission to develop & deploy intelligent technologies, solutions, and networks to provide a continuum of care, early warning systems, and responses to save lives.
Supported by the Government of India through BIRAC, Dozee is on a path to steer the trajectory of the public and private healthcare ecosystem. With the aim of providing connected health in every bed, Dozee strives to improve access and put patient care first with its vision of #HarBedDozeeBed. Dozee tracks vital parameters such as the Heart Rate, Respiratory Rate, Blood Pressure, Blood Oxygen Saturation, and Skin Temperature with clinical grade accuracy, and tracks sleep quality while flagging indicators of sleep apnea.
Dozee continues to reimagine health and create a future where healthcare is smarter and connected.
Jobs
2
About the company
|
At Qagile Services, we are dedicated to empowering businesses through cutting-edge IT solutions and unparalleled staffing services. Our mission is to bridge the gap between technology and talent, ensuring that your organization has the resources it needs to thrive in a rapidly evolving digital landscape.
Jobs
8
About the company
Immigration Consultancies is an Auckland-based immigration consultancy firm that handles the planning and submission process of all kinds of Study, Resident and Work visas for New Zealand, Australia and Canada.
For over 20 years, ICL has dealt with thousands of applications. Will yours be the next application we secure?
Jobs
10
About the company
We are India's fastest-growing window and door system brand based out of Hyderabad. We have completed 800+ successful projects through a network of 150+ fabricators present across 100+ locations across the country. Our proprietary and tech-first approach (PartnerGate) has made us a preferred partner for businesses and a trusted brand for customers in the segment.
We currently manufacture UPVC windows and doors. Our products combine durability, energy efficiency, and modern design to meet the growing demands of homeowners and builders.
Our products are developed by our in-house innovation and product development team for Indian climatic conditions meeting international standards (EN ISO, ASTM). They are manufactured in ISO-certified manufacturing facilities with a production capacity exceeding 100,000MT.
We have raised $1.04 million (about Rs 8.5 crore) in seed funding led by Incubate Fund India, Titan Capital, Partners Fund Japan, Superb Capital, and MamaEarth founder Varun Alagh. Within one year we have grown 7X.
We operate in a B2B2C, B2B and B2C model. Eventually, B2C will be our primary channel with a pan-India presence. Within the decade, we also plan to export our products to markets in the world.
Jobs
2
About the company
OpenIAM is a pioneering Identity and Access Management (IAM) solutions provider that has been transforming enterprise security since 2008. Based in New York, this self-funded and profitable company has established itself as an innovator in the IAM space, being the first to introduce a converged architecture stack and fully containerized suite for cloud environments. With a global presence and partnerships with major systems integrators like Thales and Indra, OpenIAM serves mid to large enterprises across various sectors including financial services, healthcare, education, and manufacturing.
Jobs
1
About the company
LION & ELEPHANTS has been founded in 2021 with a focus to serve and provide services to the ever-growing demand in information & technology space especially in Banking & Finance, Cyber Security, CRM, enterprises and Fintech solutions. Backed by a strong foundation passion of Talent & Placement Services including Staff augmentation, placement solutions, consulting, etc.,
LION & ELEPHANTS having founded in Singapore, expanded into Indian market by 2022 to cater to the growing demand to support Middle East, UK & US projects and clientele, with our offshore Global Competency Center & Service delivery with offices in Chennai and Coimbatore as it’s not only a Beehive of IT professionals, but also a home of aspiring talent pool.
As a dynamic software services delivery company, we specialize in cutting-edge technology solutions. Inspired by the strength of the lion and the wisdom of the elephant, we bring a powerful combination of agility, innovation, and reliability to every project.
Who We Are
We are a team of highly skilled professionals committed to delivering world-class software solutions that drive business growth. Our expertise spans custom software development, cloud solutions, AI & machine learning, data analytics, and enterprise IT consulting
Why LION & ELEPHANTS?
- Agility & Strength – Like a lion, we move swiftly, delivering high-impact solutions tailored to our clients' needs.
- Wisdom & Longevity – Like an elephant, we prioritize long-term partnerships, ensuring sustainable and scalable solutions.
- Innovative & Scalable – We leverage the latest technologies to create future-proof systems that evolve with business demands.
Jobs
2
About the company
Jobs
1
About the company
Jobs
1