Cutshort logo
Qtonix Software Pvt Ltd logo
International BPO executive
International BPO executive
Qtonix Software Pvt Ltd's logo

International BPO executive

Tania Saha's profile picture
Posted by Tania Saha
1 - 3 yrs
₹2L - ₹3L / yr
Kolkata
Skills
International sales
International Business
Business Process Outsourcing (BPO)

·         Maintained a high profile in the professional and business communities.

·         Skilled in cold calling, consultative selling and partnering with others.

·         Preparing analysis reports for clients.

·         Working on Email campaign.

·         Coordinate efforts to improve the customer experience at the point of sale.

·         Manage product sales growth and individual sales effectiveness by reviewing sales activity schedule.

·         Maintain strong relationships with key contacts in existing clients and develop new clients.

·         Liaise between the technical team and clients, as well as senior management and stakeholders.

·         Managing a team &Motivating team members to achieve sales target.

·         Negotiating contracts and making partnership with clients.

·         Working on campaign management and lead nurturing.

·         Develop incentive and marketing programs for customers

·         Oversee high volume pipeline management 

Read more
Users love Cutshort
Read about what our users have to say about finding their next opportunity on Cutshort.
Shubham Vishwakarma's profile image

Shubham Vishwakarma

Full Stack Developer - Averlon
I had an amazing experience. It was a delight getting interviewed via Cutshort. The entire end to end process was amazing. I would like to mention Reshika, she was just amazing wrt guiding me through the process. Thank you team.
Companies hiring on Cutshort
companies logos

About Qtonix Software Pvt Ltd

Founded :
2012
Type :
Services
Size :
20-100
Stage :
Profitable

About

Qtonix Software is a reliable and esteemed SEO company that offers safe and long-lasting results. It operates in the SEO industry and aims to become the most trusted expert in the field. Qtonix Software is an SEO company that specializes in providing safe and long-lasting results to its clients. The company was founded with the aim of becoming the most trusted and expert SEO company in the industry. Over the years, Qtonix Software has grown to become one of the largest SEO specialists in the industry. The company has a proven track record of helping businesses improve their online visibility and increase their website traffic. Qtonix Software offers a range of SEO services, including keyword research, on-page optimization, link building, and content creation. The company has worked with clients from various industries, including healthcare, finance, and e-commerce. Qtonix Software's team of SEO experts uses the latest tools and techniques to help businesses achieve their online marketing goals.
Read more

Company social profiles

linkedin

Similar jobs

Credflow
at Credflow
2 recruiters
Manoj Pant
Posted by Manoj Pant
Noida
1 - 3 yrs
₹1L - ₹3L / yr
Inside Sales
Sales
Communication Skills
Effective communication

About CredFlow :

 

Imagine a business- always stressed with increasing outstanding dues in the market, pressure from vendors and other creditors to pay off debts, managing operations, sourcing material and the list is endless! Now imagine the plight of millions of such businesses globally.

 

At CredFlow, we are solving SMEs' most pressing problem of cash flow management. We are a full-stack cash flow management solution automating accounts receivables and building multiple stacks of financial solutions on top of that. We have seen pretty good traction in the past few months, achieved product-market fit and are scaling fast. We already have more than 30 Lakh businesses on the CredFlow network and more than $70 billion worth of invoices on the platform.

 

Above all, we have a gold mine waiting to be unlocked - the humongous amount of financial data flowing through our servers! 

 

In 2021 we raised a 2.1 million $ seed round from marquee VC Funds. We have recently raised $7 million in Series A from marquee VC Funds.

 

Company Website Link: https://credflow.in/about%20us.html

Role Title: Business Development Executive – Inside Sales

Process: Revenue generation, cold calling, B2B sales, Product demo.

Work Days: 6 days per week

Job Location: Noida

Office Hours: Work from office (10 AM to 7 PM)

 

Key Responsibilities:

 

● Reach out to warm leads on call and schedule product demos.

● Understand customer needs and requirements.

● Share product quotation and close the sale

● Maintain relationships with the customers.

● Get customer referrals from existing paid users.

● Provide after-sale support.

● Share weekly and monthly Sales Forecasts of probable conversion.

● Share product feedback to the product management team.

 

Skills and Background:

 

● Excellent communication skills

● Prior sales experience is preferred.

● Good Logical and Analytical skills.

● Smart and Collaborative team

● Best in the market Incentive Structure.

● Early-Stage startup experience.

● Graduate/Postgraduate

Read more
Chennai, Hyderabad, Bengaluru (Bangalore), Pune, Gurugram, Jaipur
5 - 10 yrs
₹10L - ₹24L / yr
skill iconJava
J2EE
skill iconSpring Boot
Microservices
skill iconAmazon Web Services (AWS)

Java with cloud

 

 Core Java, SpringBoot, MicroServices

- DB2 or any RDBMS database application development

- Linux OS, shell scripting, Batch Processing 

- Troubleshooting Large Scale application 

- Experience in automation and unit test framework is a must 

- AWS Cloud experience desirable 

- Agile Development Experience 

- Complete Development Cycle ( Dev, QA, UAT, Staging)

- Good Oral and Written Communication Skills

Read more
An IT company based in Pune
An IT company based in Pune
Agency job
via WEN Women Entrepreneur Network by Kanika Vaswani
Remote, Pune
4 - 8 yrs
₹10L - ₹15L / yr
skill iconJava
skill iconSpring Boot
Spring
skill iconAngular (2+)
skill iconHTML/CSS
+5 more
We are looking for a Java Tech Lead responsible to provide technical leadership to a team of engineers. Design
comprehensive solutions; solve complex business problems and then directing the implementation of these solutions
through a team of developers. Work with the various teams to analyze and assess implementation methodology.
Identify & communicate the technical infrastructure requirements. Support existing business process automations and implement change requirements as part of a structured change control process

Responsibilities:
 Leading and managing team of developers.
 Integration of user-facing elements developed by a front-end developer with server-side logic.
 Building reusable code and libraries for future use
 Optimization of the application for maximum speed and scalability
 Implementation of security and data protection
 Design and implementation of data storage solutions
Skills and Qualifications:
 Overall 6-8 years of experience in multi-tiered application development and leadership
 Strong Programming fundamentals. (Must have - Java)
 Good Knowledge in cloud technologies like AWS, Azure, etc.
 Hands on experience on Spring technology including Springboot, SpringMVC, Spring Security and others.
 Good understanding on experience on microservice development using Springboot REST web services using
Spring Rest or Jersey SQL NoSQL DB
 Hands on with Unit testing Dynamic and proactive with ability to work as part of a multi-disciplinary team
 Good communications skills fluent in English
 Ability to communicate effectively across different disciplines
Primary Skills (Good toHave)
Java, Spring Boot, Spring MVC, Restful Web Services, Micro Services
Education: B.Tech/B.E, BCA, MCA, MCS, etc. in Computers
Read more
Remote, Baroda
3 - 7 yrs
₹5L - ₹7L / yr
Digital Marketing
Facebook Marketing
Facebook Insights
Google Adsense
skill iconGoogle Analytics
+1 more
This job is for the upcoming new and improved Spice Village Website - http://www.spicevillage.eu" target="_blank">www.spicevillage.eu (Launching in June 2021) 

Job Responsibilities - 
  • Creating engaging content and implementing strategic syndication across all our key social channels (SoMe and newsletter)
  • Daily interaction with our Spice Village community on social media platforms in both English and German(using Google Translate) 
  • Monitoring of performance indicators such as impressions, clicks, views etc and creating reports for these KPIs
  • Developing newsletter campaigns using MailChimp in both English and German
  • Identifying user growth partnerships and being proactive for these partnerships
  • Working with multiple internal stakeholders to develop beautiful brand-led content that’s appropriate for all social media platforms
  • Complete a monthly competitor analysis
  • Create Facebook ads and google ads based on the campaigns
  • Implement unique Facebook ad strategies and experiment using A/B testing 
  • Monitor paid campaign performance using commonly used KPIs 
  • Create a better social media experience for our followers rather than just focusing on promotions 
  • Track customer journey for customers coming through Social Media (Organic and Paid) 
 
Requirements 
  • Minimum 3 years experience in High Growth Company or Agency 
  • A proven marketer who understands and has worked in a high functioning, high revenue eCommerce brand or Agency 
  • Deep understanding of A/B testing, experimentation and eCommerce analysis 
  • An expert in analyzing data and creating reports
  • An expert in Facebook Ads and Google Ads 
Read more
Octro Inc
at Octro Inc
1 recruiter
Reshma Suleman
Posted by Reshma Suleman
Noida, NCR (Delhi | Gurgaon | Noida)
1 - 7 yrs
₹10L - ₹20L / yr
skill iconData Science
skill iconR Programming
skill iconPython

Octro Inc. is looking for a Data Scientist who will support the product, leadership and marketing teams with insights gained from analyzing multiple sources of data. The ideal candidate is adept at using large data sets to find opportunities for product and process optimization and using models to test the effectiveness of different courses of action. 

 

They must have strong experience using a variety of data mining/data analysis methods, using a variety of data tools, building and implementing models, using/creating algorithms and creating/running simulations. They must have a proven ability to drive business results with their data-based insights. 

 

They must be comfortable working with a wide range of stakeholders and functional teams. The right candidate will have a passion for discovering solutions hidden in large data sets and working with stakeholders to improve business outcomes.

Responsibilities :

- Work with stakeholders throughout the organization to identify opportunities for leveraging company data to drive business solutions.

- Mine and analyze data from multiple databases to drive optimization and improvement of product development, marketing techniques and business strategies.

- Assess the effectiveness and accuracy of new data sources and data gathering techniques.

- Develop custom data models and algorithms to apply to data sets.

- Use predictive modelling to increase and optimize user experiences, revenue generation, ad targeting and other business outcomes.

- Develop various A/B testing frameworks and test model qualities.

- Coordinate with different functional teams to implement models and monitor outcomes.

- Develop processes and tools to monitor and analyze model performance and data accuracy.

Qualifications :

- Strong problem solving skills with an emphasis on product development and improvement.

- Advanced knowledge of SQL and its use in data gathering/cleaning.

- Experience using statistical computer languages (R, Python, etc.) to manipulate data and draw insights from large data sets.

- Experience working with and creating data architectures.

- Knowledge of a variety of machine learning techniques (clustering, decision tree learning, artificial neural networks, etc.) and their real-world advantages/drawbacks.

- Knowledge of advanced statistical techniques and concepts (regression, properties of distributions, statistical tests and proper usage, etc.) and experience with applications.

- Excellent written and verbal communication skills for coordinating across teams.

Read more
Unacademy
at Unacademy
1 video
11 recruiters
Garima Singh
Posted by Garima Singh
Bengaluru (Bangalore)
1 - 8 yrs
₹3L - ₹8L / yr
Teaching
Tutoring
Mathematics
Science
Online learning
We are looking for full time Online tutors with command over Science or Maths of higher secondary level (9th and 10th grade). Skills Required: - Excellent command over either Maths or Science. - Excellent communication and command over English and Hindi - B.Tech/BCA/B.Sc/M.Tech/M.Sc/MCA - Flexible to work 6 days a week Job Type: Full-time
Read more
Dataweave Pvt Ltd
at Dataweave Pvt Ltd
32 recruiters
Sanket Patil
Posted by Sanket Patil
Bengaluru (Bangalore)
6 - 10 yrs
Best in industry
skill iconMachine Learning (ML)
skill iconPython
skill iconData Science
Natural Language Processing (NLP)
skill iconDeep Learning
+2 more
About us
DataWeave provides Retailers and Brands with “Competitive Intelligence as a Service” that enables them to take key decisions that impact their revenue. Powered by AI, we provide easily consumable and actionable competitive intelligence by aggregating and analyzing billions of publicly available data points on the Web to help businesses develop data-driven strategies and make smarter decisions.

Data Science@DataWeave
We the Data Science team at DataWeave (called Semantics internally) build the core machine learning backend and structured domain knowledge needed to deliver insights through our data products. Our underpinnings are: innovation, business awareness, long term thinking, and pushing the envelope. We are a fast paced labs within the org applying the latest research in Computer Vision, Natural Language Processing, and Deep Learning to hard problems in different domains.

How we work?
It's hard to tell what we love more, problems or solutions! Every day, we choose to address some of the hardest data problems that there are. We are in the business of making sense of messy public data on the web. At serious scale!

What do we offer?
- Some of the most challenging research problems in NLP and Computer Vision. Huge text and image datasets that you can play with!
- Ability to see the impact of your work and the value you're adding to our customers almost immediately.
- Opportunity to work on different problems and explore a wide variety of tools to figure out what really excites you.
- A culture of openness. Fun work environment. A flat hierarchy. Organization wide visibility. Flexible working hours.
- Learning opportunities with courses and tech conferences. Mentorship from seniors in the team.
- Last but not the least, competitive salary packages and fast paced growth opportunities.

Who are we looking for?
The ideal candidate is a strong software developer or a researcher with experience building and shipping production grade data science applications at scale. Such a candidate has keen interest in liaising with the business and product teams to understand a business problem, and translate that into a data science problem. You are also expected to develop capabilities that open up new business productization opportunities.


We are looking for someone with 6+ years of relevant experience working on problems in NLP or Computer Vision with a Master's degree (PhD preferred).


Key problem areas
- Preprocessing and feature extraction noisy and unstructured data -- both text as well as images.
- Keyphrase extraction, sequence labeling, entity relationship mining from texts in different domains.
- Document clustering, attribute tagging, data normalization, classification, summarization, sentiment analysis.
- Image based clustering and classification, segmentation, object detection, extracting text from images, generative models, recommender systems.
- Ensemble approaches for all the above problems using multiple text and image based techniques.

Relevant set of skills
- Have a strong grasp of concepts in computer science, probability and statistics, linear algebra, calculus, optimization, algorithms and complexity.
- Background in one or more of information retrieval, data mining, statistical techniques, natural language processing, and computer vision.
- Excellent coding skills on multiple programming languages with experience building production grade systems. Prior experience with Python is a bonus.
- Experience building and shipping machine learning models that solve real world engineering problems. Prior experience with deep learning is a bonus.
- Experience building robust clustering and classification models on unstructured data (text, images, etc). Experience working with Retail domain data is a bonus.
- Ability to process noisy and unstructured data to enrich it and extract meaningful relationships.
- Experience working with a variety of tools and libraries for machine learning and visualization, including numpy, matplotlib, scikit-learn, Keras, PyTorch, Tensorflow.
- Use the command line like a pro. Be proficient in Git and other essential software development tools.
- Working knowledge of large-scale computational models such as MapReduce and Spark is a bonus.
- Be a self-starter—someone who thrives in fast paced environments with minimal ‘management’.
- It's a huge bonus if you have some personal projects (including open source contributions) that you work on during your spare time. Show off some of your projects you have hosted on GitHub.

Role and responsibilities
- Understand the business problems we are solving. Build data science capability that align with our product strategy.
- Conduct research. Do experiments. Quickly build throw away prototypes to solve problems pertaining to the Retail domain.
- Build robust clustering and classification models in an iterative manner that can be used in production.
- Constantly think scale, think automation. Measure everything. Optimize proactively.
- Take end to end ownership of the projects you are working on. Work with minimal supervision.
- Help scale our delivery, customer success, and data quality teams with constant algorithmic improvements and automation.
- Take initiatives to build new capabilities. Develop business awareness. Explore productization opportunities.
- Be a tech thought leader. Add passion and vibrance to the team. Push the envelope. Be a mentor to junior members of the team.
- Stay on top of latest research in deep learning, NLP, Computer Vision, and other relevant areas.
Read more
BLR LABS Pvt Ltd
Bengaluru (Bangalore)
3 - 8 yrs
₹13L - ₹40L / yr
floorplanning
timing closure
STA
JOB DESCRIPTION PHYSCIAL DESIGN MANDATORY IMPLEMENTATION SKILLS • Netlist to GDSII at block level, Subsystem Level and at Full chip. • Worked on multiple tapeouts on Netlist to GDSII • Hierarchical partitioning and budgeting of block-level subsystems. • Implementation of high performance (HP) cores, low power designs • Node experience upto 7nm, 10nm, 14nm, 28nm. • Timing Signoff in loop through STA and ECO cycle at block and at interface. • Block level floor planning, power planning and IR drop analysis. • Scan chain reordering / Scan Chain repartitioning • CTS expertise and clock tree constraints creation for meeting specifications • MMMC optimization at Block and Sub-System Level • Timing closure with Crosstalk and AOCV / POCV • TCL scripting to fundamentally understand tool usage. MANDATORY EDA SKILLS • PnR tools such as Synopsys ICC/ICC2 and/or Cadence Innovus and/or Mentor Graphics Olympus/Pinnacle and /or Atoptech Apisa/Apogee OPTIONAL AND GOOD TO HAVE SKILLS • Good knowledge of standard cell libraries - circuit design and cell layout. • Good understanding of STA, EM / IR and sign-off flows • Formal verification at various levels of design implementation • Low Power Design (General Methodology, CPF, UPF, atleast one of them) • PERL Scripting and creating quick procedures for solutions • Full Chip Implementation will be an added advantage • Develop, customize, support and maintain physical design flows and methodologies. OPTIONAL AND EDA SKILLS DC, PT, PT-SI, Tweaker, Calibre, LEC, CLP, Spyglass PD HIRING REQUIREMENT RANGE 3 – 6 years 7 positions Block Level and Sub-System Level Implementations mandatory 7+ years 5 positions Block Level, Sub-System, Top-Level Implementations mandatory LOCATION Bangalore, India About the company : BLR Labs is a well funded startup Founded and managed by Passionate, Senior industry Professionals having more than 100 man years’, serial entrepreneurship experience from, Automotive, Mobility, Telecom, VLSI and Embedded Systems Design Engineering. We are a fast growing team of 50 and expecting to reach to 200 mark by end of the current FY. “BLR Labs aspires to create visionaries and entrepreneurs through co-creation. Great companies are built around great people & BLR Labs to achieve our vision have given highest importance and invested in building strong fundamentals such as 4Es (Express, Empathize, Empower & Enroll) & 4Is (Integrity, Innovation, Integration & Intellect) to nurture & cherish our talent force such as being an equal opportunity employer, skill upgradation to enhance our engineers to Intellect levels, creating a culture office to promote fearless & compassionate attitude through our fun @ work programs etc makes for a great working space. We have plans to establish, Labs, ODCs for various MNCs and to get started with our Overseas operations in the near future.
Read more
Thind Developers and Promoters
SATINDER THIND
Posted by SATINDER THIND
Mohali
3 - 7 yrs
₹1L - ₹2L / yr
Real Estate
construction
accounts
need Accountant having expierence in real estate industry
Read more
Indigo Consulting - A Leo Burnett Co.
Mustafa Zoher Bagasrawala
Posted by Mustafa Zoher Bagasrawala
Mumbai
3 - 7 yrs
₹5L - ₹10L / yr
Primary Research
Secondary Research
User Experience (UX) Design
Wireframing
Focussed on research, usability testing and follow user centred design process.
Read more
Why apply to jobs via Cutshort
people_solving_puzzle
Personalized job matches
Stop wasting time. Get matched with jobs that meet your skills, aspirations and preferences.
people_verifying_people
Verified hiring teams
See actual hiring teams, find common social connections or connect with them directly. No 3rd party agencies here.
ai_chip
Move faster with AI
We use AI to get you faster responses, recommendations and unmatched user experience.
21,01,133
Matches delivered
37,12,187
Network size
15,000
Companies hiring
Did not find a job you were looking for?
icon
Search for relevant jobs from 10000+ companies such as Google, Amazon & Uber actively hiring on Cutshort.
companies logo
companies logo
companies logo
companies logo
companies logo
Get to hear about interesting companies hiring right now
Company logo
Company logo
Company logo
Company logo
Company logo
Linkedin iconFollow Cutshort
Users love Cutshort
Read about what our users have to say about finding their next opportunity on Cutshort.
Shubham Vishwakarma's profile image

Shubham Vishwakarma

Full Stack Developer - Averlon
I had an amazing experience. It was a delight getting interviewed via Cutshort. The entire end to end process was amazing. I would like to mention Reshika, she was just amazing wrt guiding me through the process. Thank you team.
Companies hiring on Cutshort
companies logos