Cutshort logo
Galentic Technologies logo
Performance tester
Galentic Technologies's logo

Performance tester

Kunal Sabnis's profile picture
Posted by Kunal Sabnis
2 - 5 yrs
₹4L - ₹10L / yr
Mumbai
Skills
Performance Testing
Test Automation (QA)
JMeter
Dynatrace
HP LoadRunner
Load Testing
RPT
Design and Implement Performance Test Plan èUnderstand and analyse system under test. èCreate scripts & scenarios èExecute and manage load tests. èCollect & Analyze and report client side metrics èCollect & Analyze server side metrics for Linux Servers èCollect & Analyze Application Level metrics and report recommendations èIdentify or recommend changes to the code, design and infrastructure based on tested results
Read more
Users love Cutshort
Read about what our users have to say about finding their next opportunity on Cutshort.
Shubham Vishwakarma's profile image

Shubham Vishwakarma

Full Stack Developer - Averlon
I had an amazing experience. It was a delight getting interviewed via Cutshort. The entire end to end process was amazing. I would like to mention Reshika, she was just amazing wrt guiding me through the process. Thank you team.
Companies hiring on Cutshort
companies logos

About Galentic Technologies

Founded :
2015
Type :
Services
Size :
20-100
Stage :
Profitable

About

Entrepreneur,Wife N Mom...loving it
Read more

Connect with the team

Profile picture
Kunal Sabnis

Company social profiles

twitter

Similar jobs

NeoGenCode Technologies Pvt Ltd
Akshay Patil
Posted by Akshay Patil
Gurugram
4 - 12 yrs
₹4L - ₹40L / yr
Artificial Intelligence (AI)
skill iconMachine Learning (ML)
skill iconPython

Job Title : AI/ML Engineer (Python)

Location : Gurgaon

Experience : 5+ Years and 10+ Years


Role Overview :

We are seeking highly skilled AI/ML Engineers with expertise in Python to join our team in Gurgaon. The ideal candidates will have 5+ Years or 10+ Years of experience in building and deploying AI/ML models, developing scalable solutions, and contributing to cutting-edge machine learning projects.


Key Responsibilities :

  • Design, develop, and deploy robust AI/ML models and algorithms to solve real-world problems.
  • Collaborate with cross-functional teams to integrate machine learning solutions into production environments.
  • Implement data preprocessing, feature engineering, and model optimization techniques.
  • Work with large datasets to derive insights and develop predictive models.
  • Write clean, efficient, and well-documented Python code.
  • Evaluate model performance and fine-tune algorithms for better accuracy and efficiency.
  • Research and implement new machine learning methods and technologies.
  • Develop and maintain automated pipelines for training, testing, and deploying models.
  • Mentor junior engineers (for 10+ Years of experience candidates).

Key Requirements :

Educational Qualification : Bachelor’s or Master’s degree in Computer Science, Artificial Intelligence, Data Science, or a related field.


Experience :

  • For 5+ Years : Proven expertise in AI/ML development with hands-on project experience.
  • For 10+ Years : Advanced expertise in AI/ML with a track record of leading complex projects and mentoring teams.

Technical Skills :

  • Strong programming skills in Python.
  • Expertise in machine learning frameworks like TensorFlowPyTorch, or Scikit-learn.
  • Proficiency in data processing tools such as Pandas and NumPy.
  • Experience with cloud platforms (AWS, Azure, or GCP) for deploying models.
  • Knowledge of NLP, computer vision, or deep learning techniques is a plus.
  • Familiarity with big data tools (e.g., Spark, Hadoop) is advantageous.

Soft Skills :

  • Strong analytical and problem-solving abilities.
  • Excellent communication and team collaboration skills.
  • Ability to adapt to a fast-paced and dynamic environment.

Preferred Qualifications :

  • Hands-on experience with MLOps tools and workflows.
  • Familiarity with version control systems like Git.
  • Exposure to end-to-end product lifecycle from ideation to deployment.

Benefits :

  • Competitive salary and performance-based incentives.
  • Opportunity to work on cutting-edge AI/ML projects.
  • Collaborative and innovative work environment.
  • Continuous learning and upskilling opportunities.


Read more
Remote only
1 - 2 yrs
₹2L - ₹6L / yr
Lead Generation

We are hiring for sales candidate for selling wide range of subscription packs for 2 wheeler and 4 wheeler..

Read more
Wallero technologies
at Wallero technologies
5 recruiters
Joy Samuel
Posted by Joy Samuel
Hyderabad
7 - 14 yrs
₹15L - ₹35L / yr
Integration testing
Data migration

Dear ,

 

I came across your profile and am impressed with your background. I wanted to reach out regarding a Salesforce QA Analyst role. Based on your profile, I think you would be a great fit! If you are interested in hearing more, I would love to connect and give you more information.


Position: Salesforce QA Analyst

Location: Hyderabad, Telangana, India

Job Type: Permanent (full-time)

 

Company Overview:

 

About Wallero:

We are a Seattle-based company specializing in product engineering, software development, and technology services. With offices in the U.S., Canada, Bulgaria, and India (specifically, Manjeera Trinity Corporate, JNTU-Hitech City Road, adjacent to LULU Mall in Hyderabad), we provide comprehensive solutions to our global clientele.

As a Microsoft Gold partner company, Wallero is committed to delivering exceptional services and innovative solutions in the technology space. For a detailed overview of our company, please visit: Wallero About Us

Wallero Culture:

At Wallero, we foster a vibrant and inclusive culture that values collaboration, innovation, and professional growth. We believe in creating an environment where every team member can thrive and contribute meaningfully to our projects and initiatives. Explore more about our culture and career opportunities at: Wallero Careers

Job Description:

 

We are seeking a detail-oriented and experienced Salesforce QA Analyst with a background in Business Analysis (nice to have) to join our dynamic team. The ideal candidate will possess strong expertise in Salesforce testing, coupled with an analytical mindset to support business requirements and ensure high-quality solutions. Experience in the Healthcare Insurance domain is highly desirable, and familiarity with Majesco products or platforms is a plus.

Key Responsibilities:

  • Test Plan Design and Execution: Develop and execute comprehensive test plans and test cases for Salesforce applications, covering both functional accuracy and integration performance.
  • Integration Testing with 3rd Party Systems: Plan, design, and execute integration testing strategies for Salesforce applications, ensuring seamless interaction with external systems, including healthcare insurance platforms and other 3rd party tools.
  • Data Validation and Integrity Testing: Conduct data validation and testing across various Salesforce modules, verifying data integrity, compliance, and correct data flow between integrated systems.
  • Healthcare Insurance Domain Expertise: Apply knowledge of Healthcare Insurance standards to identify business-critical integration scenarios, ensuring QA processes align with industry standards and regulatory requirements.
  • Requirement Gathering and QA Input: Participate in requirement gathering sessions, providing valuable QA and integration testing insights to help shape project deliverables and ensure testability of integrated workflows.
  • Collaboration with Stakeholders, Defect Management etc are must.

Qualifications:

  • Proven experience in Salesforce Quality Assurance, including hands-on testing of Salesforce features and integrations.
  • Exposure to Business Analysis methodologies, with the ability to translate business needs into technical requirements.
  • Prior experience or familiarity with the Healthcare Insurance domain is highly desirable.
  • Ability to work independently and as part of a team in a fast-paced environment.
  • Familiarity with testing tools and methodologies, including manual and automated testing techniques.

 

Preferred Skills:

  • Certification in Salesforce QA or Business Analysis.
  • Experience with Agile/Scrum methodologies.

 

Why Wallero?

·      Founded by technologists from Microsoft, Wallero has established a strong reputation for building amazing products, applications and cloud solutions for enterprises and municipalities in the US and Worldwide

·      At Wallero, products and solutions are developed for Enterprises who demand the industry’s best in cutting-edge technologies. Whether it’s Internet of Things, Custom Mobile Apps, or Public Cloud, your career at Wallero will enable you to have hands-on experience in delivering customer delight while enhancing your career growth by working with the latest and best in technology.                                                                                                                                                                                      

·      Our culture encourages initiative and growth while promoting the ability to take on new opportunities and working on new technology.

·      Wallero’ s culture is one of collaboration and teamwork – always encouraging individual and team contributions.

·      Employees work in a respectful environment and can work on the projects that align with their career and technology interests.

If you believe you have the skills and experience necessary for this role and are excited about contributing to our team, we would love to hear from you.

Read more
PayAid Payments Pvt Ltd
Flat Number 101, Second floor, Sri Sri Towers, DK Rd, above Medplus pharmacy, Swathi Avenue, Ameerpet, Hyderabad, Telangana 500016
2 - 4 yrs
₹2L - ₹4L / yr
Lead Generation
B2B Marketing
Sales
Marketing & Communication
Communication Skills
+2 more

Position Overview:

 

 As a Field Sales Executive in the Fintech Industry specializing in Payment Gateways, you will be responsible for driving the sales and business development efforts within the Business, Merchant, and Enterprise (BME) segment. You will play a critical role in expanding the market presence of our payment gateway solutions, building and maintaining relationships with clients, and achieving revenue targets.

 

 

·      Identify and prospect potential clients within the fintech industry, including startups, established companies, and financial institutions, to promote and sell our payment gateway solutions.

·      Develop and maintain strong relationships with key decision-makers, stakeholders, and partners to ensure a deep understanding of client needs and effectively position our payment gateway offerings.

·      Understand the unique requirements of each client and tailor payment gateway solutions to address their specific needs, showcasing the value and benefits of our services.

·      Maintain a deep understanding of our payment gateway products, features, and industry trends to effectively communicate their advantages to clients.

·      Meet and exceed individual and team sales targets, contributing to the overall revenue goals of the department.

·      Stay up-to-date with industry trends, market dynamics, and competitor activities to identify potential areas for business growth and maintain a competitive edge

·      Work closely with cross-functional teams including marketing, product development, and customer support to ensure seamless customer onboarding and exceptional post-sales support.

·      Effectively manage and update sales pipelines, activities, and progress using CRM tools.

·      Provide regular sales reports, forecasts, and insights to management, highlighting achievements and potential areas for improvement

·      Proactively seek opportunities for professional growth, staying current with industry advancements and sales techniques.

Qualifications:

  • Bachelor's degree in Business, Marketing, Finance, or related field (or equivalent work experience).
  • Proven track record of successful sales in the fintech, payment gateway, or related industry.
  • Strong communication, negotiation, and interpersonal skills.
  • Ability to understand complex technical concepts and effectively translate them to non-technical clients.
  • Self-motivated, proactive, and able to work independently or as part of a team.
  • Familiarity with CRM software and sales tools.
  • Willingness to travel for client meetings and industry events as required.

 

Read more
Mobile Programming India Pvt Ltd
at Mobile Programming India Pvt Ltd
1 video
17 recruiters
Prachi Gupta
Posted by Prachi Gupta
Mohali
0 - 1 yrs
₹1L - ₹2L / yr
Customer Service
Customer Support
MS-Excel
Effective communication
Emailing
Mobile Programming India Pvt Ltd. is https://www.linkedin.com/feed/hashtag/?keywords=hiringfreshers&;highlightedUpdateUrns=urn%3Ali%3Aactivity%3A6998857513454481408">#hiringfreshers

Job Title- Customer Service (CLG) Trainee

Job Requirements:
- Must know e-mailing.
- Have basic excel knowledge.
- Have excellent communication skills.

Work shift- Rotational
Location- Mohali
Stipend- 15,000 INR

Immediate joiners are preferred.

*Opportunity to get converted into full-term employment after completing 6 months of training.*
Read more
Shoutnhike
at Shoutnhike
1 recruiter
Piyali Lakhani
Posted by Piyali Lakhani
Ahmedabad
1 - 3 yrs
₹1L - ₹3L / yr
Digital Marketing
Search Engine Optimization (SEO)
Google Adwords
Web Analytics
skill iconGoogle Analytics
+1 more

About The Role:

Our dream Digital Marketer will be responsible for executing a variety of tasks, including keeping our paid channels up-to-date and running plenty of experiments. This role demands a lot of research and frequent troubleshooting. You will need strong problem solving skills in an area where answers are rarely obvious!
Typical Daily Duties:

  • Manage active campaigns, test and optimise
  • Conduct keyword research and create audiences for targeting
  • Work on the copy and creatives for the ads independently or in conjunction with our designers (e.g. image ads, banners, videos, etc.)
  • Track KPIs to assess performance and pinpoint potential issues
  • Find new opportunities to improve results
  • Stay up to date with PPC (Pay per click)

Requirements

  • Keyword Analysis
  • On-Page Optimization
  • Off Page Optimization
  • SEO Tools
  • Site Analysis
  • Google Search Console
  • Local Business SEO
  • Job Type: Full-time
  • Experience: 3+ year (Preferred)
  • Excellent client servicing skills
  • Computer proficiency
  • Ability to interact with varied cultures
  • Energetic, Confident, and Motivated & Self- driven
  • Working Days: Mon to Fri and 1st, 3rd and 5th Sat off
  • Salary: Up to 25K
Read more
TechUnity Software Systems India Pvt Ltd;
Coimbatore
4 - 6 yrs
₹2L - ₹3L / yr
skill icon.NET
ASP.NET
skill iconC#
ASP.NET MVC
Looking for an .NET MVC developer that will be able to develop and support existing applications.

Preference : Male Candidtate from Coimbatore, Tamil Nadu.

Role :

Designing and building application layers

Analysing requirements and designing new functionality


Skills :

knowledge in ASP.NET MVC 3 / 4. Experience in .Net Framework , C# , ASP.Net , Linq , Entity Framework 5 / 6 , SQL Server 2008 / 12. Hands - on Experience on MVC 3 / 4.


Familiarity with the client side framework


Experience using .NET ( 5+ years)
Read more
Square Yards
at Square Yards
16 recruiters
Parul bhadauria
Posted by Parul bhadauria
Delhi, Gurugram, Noida, Ghaziabad, Faridabad
0 - 5 yrs
₹3L - ₹7L / yr
Negotiation
Communication Skills
Business Development
Real Estate
Job description

• Client acquisition and business development by direct/indirect sales.

• Offer customised portfolio solutions to clients based on their risk/ return and liquidity profile.

• Maximise revenue generation and lead closures by using social and public media marketing campaigns.

• Strong client focus including pre and post sales services for long term wealth retention.

• Meet and advise clients on real estate.

• Transaction management and deal closure from sourcing to ROI generation.

• Develop Square Yards as a brand by ensuring service standards in line with company policies.

• Attractive incentives, mobility across regions (global), fast track growth and equity ownership for superior performers.
Read more
LatentView Analytics
at LatentView Analytics
3 recruiters
Kannikanti madhuri
Posted by Kannikanti madhuri
Chennai
1 - 4 yrs
₹2L - ₹10L / yr
Business Intelligence (BI)
Analytics
SQL server
Data Visualization
Tableau
+7 more
Title: Analyst - Business AnalyticsExperience: 1 - 4 YearsLocation: ChennaiOpen Positions: 17Job Description:Roles & Responsibilities:- Designing and implementing analytical projects that drive business goals and decisions leveraging structured and unstructured data.- Generating a compelling story from insights and trends in a complex data environment.- Working shoulder-to-shoulder with business partners to come up with creative approaches to solve the business problem.- Creating dashboards for business heads by exploring available data assets.Qualifications:- Overall 1+ Years of Business Analytics experience with strong communication skills.- Bachelor or Master degree in computer science is preferred.- Excellent problem solving and client orientation skills.Skills Required:- Ability to program in Advanced SQL is must.- Hands-on experience in Modeling tools such as R or Python- Experience in Visualization tools such as Power BI, Tableau, Looker, etc., would be a big plus.- Analytics certifications from recognized platforms would be a plus - Udemy, Coursera, EDX,etc.
Read more
BLR LABS Pvt Ltd
Bengaluru (Bangalore)
3 - 8 yrs
₹13L - ₹40L / yr
floorplanning
timing closure
STA
JOB DESCRIPTION PHYSCIAL DESIGN MANDATORY IMPLEMENTATION SKILLS • Netlist to GDSII at block level, Subsystem Level and at Full chip. • Worked on multiple tapeouts on Netlist to GDSII • Hierarchical partitioning and budgeting of block-level subsystems. • Implementation of high performance (HP) cores, low power designs • Node experience upto 7nm, 10nm, 14nm, 28nm. • Timing Signoff in loop through STA and ECO cycle at block and at interface. • Block level floor planning, power planning and IR drop analysis. • Scan chain reordering / Scan Chain repartitioning • CTS expertise and clock tree constraints creation for meeting specifications • MMMC optimization at Block and Sub-System Level • Timing closure with Crosstalk and AOCV / POCV • TCL scripting to fundamentally understand tool usage. MANDATORY EDA SKILLS • PnR tools such as Synopsys ICC/ICC2 and/or Cadence Innovus and/or Mentor Graphics Olympus/Pinnacle and /or Atoptech Apisa/Apogee OPTIONAL AND GOOD TO HAVE SKILLS • Good knowledge of standard cell libraries - circuit design and cell layout. • Good understanding of STA, EM / IR and sign-off flows • Formal verification at various levels of design implementation • Low Power Design (General Methodology, CPF, UPF, atleast one of them) • PERL Scripting and creating quick procedures for solutions • Full Chip Implementation will be an added advantage • Develop, customize, support and maintain physical design flows and methodologies. OPTIONAL AND EDA SKILLS DC, PT, PT-SI, Tweaker, Calibre, LEC, CLP, Spyglass PD HIRING REQUIREMENT RANGE 3 – 6 years 7 positions Block Level and Sub-System Level Implementations mandatory 7+ years 5 positions Block Level, Sub-System, Top-Level Implementations mandatory LOCATION Bangalore, India About the company : BLR Labs is a well funded startup Founded and managed by Passionate, Senior industry Professionals having more than 100 man years’, serial entrepreneurship experience from, Automotive, Mobility, Telecom, VLSI and Embedded Systems Design Engineering. We are a fast growing team of 50 and expecting to reach to 200 mark by end of the current FY. “BLR Labs aspires to create visionaries and entrepreneurs through co-creation. Great companies are built around great people & BLR Labs to achieve our vision have given highest importance and invested in building strong fundamentals such as 4Es (Express, Empathize, Empower & Enroll) & 4Is (Integrity, Innovation, Integration & Intellect) to nurture & cherish our talent force such as being an equal opportunity employer, skill upgradation to enhance our engineers to Intellect levels, creating a culture office to promote fearless & compassionate attitude through our fun @ work programs etc makes for a great working space. We have plans to establish, Labs, ODCs for various MNCs and to get started with our Overseas operations in the near future.
Read more
Why apply to jobs via Cutshort
people_solving_puzzle
Personalized job matches
Stop wasting time. Get matched with jobs that meet your skills, aspirations and preferences.
people_verifying_people
Verified hiring teams
See actual hiring teams, find common social connections or connect with them directly. No 3rd party agencies here.
ai_chip
Move faster with AI
We use AI to get you faster responses, recommendations and unmatched user experience.
21,01,133
Matches delivered
37,12,187
Network size
15,000
Companies hiring
Did not find a job you were looking for?
icon
Search for relevant jobs from 10000+ companies such as Google, Amazon & Uber actively hiring on Cutshort.
companies logo
companies logo
companies logo
companies logo
companies logo
Get to hear about interesting companies hiring right now
Company logo
Company logo
Company logo
Company logo
Company logo
Linkedin iconFollow Cutshort
Users love Cutshort
Read about what our users have to say about finding their next opportunity on Cutshort.
Shubham Vishwakarma's profile image

Shubham Vishwakarma

Full Stack Developer - Averlon
I had an amazing experience. It was a delight getting interviewed via Cutshort. The entire end to end process was amazing. I would like to mention Reshika, she was just amazing wrt guiding me through the process. Thank you team.
Companies hiring on Cutshort
companies logos